You are on page 1of 4

3.3.

1 Rangkian Sequential D Flip Flop


3.3.1.1 Tabel Kebenaran D-Flip flop
Tabel 3.1 Tabel Kebenaran D Flip Flop
Clk

Rst

Pre

Ce

3.3.1.2 Gambar Rangkaian

Gambar 3.1 Rangkaian D-Flip flop


3.3.1.3 Listing Program

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dflipflop is
Port ( clk : in STD_LOGIC;
rst : in STD_LOGIC;
pre : in STD_LOGIC;
ce : in STD_LOGIC;
d : in STD_LOGIC;
q : out STD_LOGIC);
end dflipflop;
architecture Behavioral of dflipflop is
begin
process(clk)
begin
if rising_edge(clk) then
if (rst='1') then
q<='0';
elsif(pre='1') then
q<='1';
elsif(ce='1') then
q<=d;
end if;
end if;
end process;
end Behavioral;

3.3.1.4 Timing Diagram

Gambar 3.2 Timing Diagram D-Flip flop

3.3.1.5 File Report

You might also like