You are on page 1of 5

Design and Parametric Analysis of 32nm

OPAMP in CMOS and CNFET Technology for


Optimum Performance
Fahad Ali Usmani, Naushad Alam and Mohd. Hasan
Department of Electronics Engineering
Aligarh Muslim University Aligarh, India
fahadaliusmani@zhcet.ac.in

Abstract—There is a need to explore emerging technologies nanotube (CNT), and that the source and drain interfaces may
based on carbon nanotube electronics as the CMOS technology is be Schottky contacts. Essentially CNFET can be thought to
approaching its limits. This will help in quick commercialization resemble a SB-MOSFET but the major difference lies in the
of these promising technologies. This paper presents the design, much thinner barrier that exists in case of the CNFET and
performance analysis and comparison of a carbon nanotube FET therefore, no exponential current increase is observed for low
(CNFET) based OPAMP with the one designed in CMOS for drain voltages (Vds). In 1991, Iijima first noticed the growth of
32nm deep submicron technology node. We have designed and Multiwalled CNTs on an arc discharge electrode [2] and in
simulated the basic two stage OPAMP for area, power and
1998, their use in semiconductor electronics was demonstrated
performance optimization in Bulk CMOS technology and then
extended a similar transistor size design for CNFET based through simulations and growth techniques [3]. Most attractive
OPAMP in terms of operating voltage, diameter and pitch of the feature of CNTs, for nanoelectronics, is their near-ballistic
CNFET along with the qualitative explanation of the obtained transport due to a limited carrier-phonon interaction because of
results using HSpice®. Furthermore, comparison of CNFET larger mean free paths of acoustic phonons [4-5]. Additionally,
based design with planar CMOS shows the superiority of the CNFET shows higher electron mobilities of the order 104 –105
former in terms of Gain (218% higher), settling times (93%
faster), power consumption (318% lower) and extremely good cm2 /Vs [5] compared with 103 cm2 /Vs in bulk silicon and
noise performance for low power-low bandwidth design higher current densities, roughly three orders of magnitude
depending upon the selection of the CNT diameter. Additionally, greater than that reported for silicon nanowires indicating that
we propose an optimal design of the output stage by lowering the CNFET is a high quality semiconducting material [6]. Major
pitch (8nm) from normal (12nm) while keeping the width obstacle that remains is that of proper chirality control that
constant for better slewing performance. decides the metallic or semiconducting nature of the CNTs,
specific nanotube separation with good precision and surface
Keywords- Carbon Nanotube (CNT), nanowires, nanoelectronics, state control.
Ballistic, scattering, chirality. CNFET shows the potential to sustain Moore’s Law in the
nearby future because of its good similarity with CMOS and
I. INTRODUCTION capability to reduce the leakage power with continued scaling
CMOS manufacturing technology has continued its [7]. For simulation purposes, we have used BSIM v4.6.1
breathtaking pace, scaling to ever-smaller dimensions reaching BPTM models of complementary MOSFET at 32nm using
beyond the sub 100 nm regime in agreement with the Moore’s HSpice software package. High performance Verilog-A
Law. Where the plunge into the deep-submicron space causes Stanford model is used which successfully accounts for
devices to behave differently and brings to the forefront a CNFET practical non-idealities, such as scattering, effects of
number of new challenges such as source to drain tunneling, the source/drain extension region, and inter-CNT charge
device mismatch, random dopant fluctuations, mobility screening effects etc. apart from accurate predictions of
degradation, etc that impact its cost, reliability and dynamic and transient performance with more than 90%
performance making further scaling almost impossible. accuracy [8-9]. In our analysis, we have used top gated
According to the International Technology Roadmap for undoped semiconducting (n,0) MOS-CNFETs having 4-nm
Semi- conductors [1], intensive research is needed in order to thick HfO2, high-k dielectric (k=16). In this paper, first we
continue this process, and indeed, to develop novel devices design the CMOS OPAMP at 32nm for area, power and
and methods that will move the technology improvements performance optimization then we begin with a similar
in other directions. A candidate transistor that may allow geometry for CNFET based design setting the initial design
for both the shrinking process to continue, and for the parameters to their most practical values and then optimizing
development of novel architectures, is the carbon nanotube sequentially each of them. Finally CMOS and CNFET based
field-effect transistor (CNFET). OPAMP is compared to give an idea of advantages and
disadvantages involved in switching the technology trend to
Essentially, CNFET is a conventional MOSFET, except
future nanoscale alternatives like CNFETs.
that its semiconducting channel is made up of a carbon

978-1-4244-4495-3/09/$25.00 ©2009 IEEE 126


Wgate TABLE I. VARIATIONS OF PARAMETERS WITH SUPPLY VOLTAGE
Pitch
Dielectric CNTs
Drain (Kgate )
Gate t
Vdd 0.9V 0.7V 0.5V 0.3V
Ldd Drain Source

Lg Gate Csub
Substrate
DC Gain dB 14.656 14.502 14.8 27.47
Lss
tubes 3 DB BW MHz 0.34635 0.3536 0.379 0.1233
(number Source
of CNTs ) GBP MHz 8.8178 17.52 38.18 171
Figure 1. Schematic CNFET Cross-section Phase
Degrees 154.4 154.83 153.832 111.814
Margin
DC CMRR dB 45.656 37.021 22.831 10.2273
0dB CMRR dB 40.3132 51.531 37.649 37.654
DC PSRR dB 63.87 51.391 35.921 30.032
0-dB PSRR dB 16.483 16.696 18.346 25.726
Rise Slew
rate (20- V/us 107.94 63.041 55.094 27.055
80%)
Fall Slew
rate (20- V/us -17.183 -12.843 -14.908 -29.618
80%)
Settling
us 0.1809 0.2196 0.2746 0.0066
time(1%)
Average
mW 1.058 0.29634 0.1912 0.16047
Power
Figure 2. Two stage loaded CMOS opamp with Miller compensation
and gain bandwidth product of the system under desired
II. OPTIMAL CMOS OPAMP DESIGN AT 32NM limits. Final optimum design parameters values are listed for
Consider the basic two-stage frequency compensated 8T 32nm Bulk-CMOS technology in Table II.
operational amplifier which has been designed at 32nm for
optimum area occupancy i.e. aspect ratios as shown in fig. 2 III. CNFET PERFORMANCE PARAMETERS
and performance in terms of gain, unity gain bandwidth and Experiments have demonstrated that CNFET technology can
power dissipation etc. Practical robust design values of load also be easily clubbed with CMOS on the same chip [12] and
and feedback capacitances are chosen (10pF each) along with hence meaningfully comparable with CMOS but with different
initial constraints on desired parameter values such as keeping device physics involved. Here, we have designed the CNFET
first and second pole frequency sufficiently apart to allow OPAMP with same on chip area occupancy as CMOS by
stability phase margins above 90 degrees. Here, an selecting the similar widths given by eq. (1).
approximate design is used to investigate the best performance
values of aspect ratios for circuit operation in micron region W ( N 1) * S D CNT (1)
[10-11] which are then modified and optimized as per device
design specifications through Iterative simulation runs 0.84 eV
(Optimum Analysis) to very deep submicron regime (32nm) g
d CNT (2)
using HSpice®. Smaller channel lengths tend to introduce non-
idealities in conventional DC biasing schemes leading to A. Selection of supply voltage
instability problems in the biasing currents, hence device
mismatches emerge which requires the transistor widths to be For specified widths and default values of CNT pitch
high to achieve some improvement in matching due to the and diameter in addition to the adjustment of Leff to 12.6 nm
decreased effective channel area below the gate of the MOS to compare fairly with 32nm CMOS technology, the number of
transistor which in turn increases the power dissipation. tubes required is calculated and OPAMP parameters results are
reported in Table I for different value of operating voltages.
We observed the improvement in bandwidth and transient We find that reducing the Vdd significantly hampers the noise
performance as device scaling is performed due to the and transient performance of the amplifier due to reduced
reduction in certain unwanted large device capacitances and output signal swing and pronounced effect of parasitic voltages
increase in transconductance which further improves with the besides giving the best of other worlds due to proportionate
increased transistor widths while on the other hand degrading scaling. Moreover, for 0.3V choice, operation shifts towards
other circuit performance parameters because of reduced the sub threshold region where high sub threshold slope
current drive attributed to lower mobilities, degraded channel directly affects the rise slew rate. Hence, selection of 0.9V is
characteristics and short channel effects etc. in addition to gate made on the basis of overall performance as tabulated above.
leakage and fostered noise problems in very deep submicron B. Optimum Inter-nanotube spacing
region. As a part of the design, large size of biasing transistor
of the input stage, Q5 is kept to control power consumption For optimum Vdd, next we wish to investigate the most
appropriate spacing between the parallel tubes to be spread

127
Figure 3. Variation of 3-dB Bandwidth and Gain Bandwidth product with Figure 6. Variation of Gain and Bandwidth with CNT diameter in nm
inter CNT Pitch

Figure 7. Variation of CMRR(dB) and PSRR(dB) with CNT diameter


Figure 4. Variation of CMRR (dB) and PSRR (dB) with CNT Pitch in nm.

Figure 5. Variation of settle timings and worst case transient power


consumption of OPAMP with CNT pitch Figure 8. Variation of settling time and transient power with CNT diameter.

between source and drain to make CNFET of some use due to contributes to the noise due to electrostatic mobile carrier
increased driving capability and current conduction in addition interactions which stabilizes as the distance is increased,
to suppression of 1/f noise [13]. Fig 3 shows that frequency thereby explaining the graph of fig 4. Slew rates and settle
response improves with pitch due to the fact that as CNTs timings are all better for larger pitch due to increased
(constant in number) are brought farther, the capacitance from transconductance values and reduced parasitics. Additionally
the gate to each CNT channel, Cgc decreases because each compromise between power and performance comes from the
CNT can mirror a small amount of charge from the gate while selection of packing density as unwanted screening,
on the other hand, the current carrying capability i.e. I PER TUBE appreciable leakage and per nanotube current (~20 uA) lead to
goes down (but current driving capability per unit device the increased power dissipation of the circuit at both very low
width improves) which indirectly affects the gain which was and very high spacing. Hence, low power design demands for
initially increasing due to the increase in overall transistor optimum selection of pitch (12 nm) which also gives the
width. Moreover, now inter-nanotube (acting as conducting balancing advantages of gain and stability margins for robust
channels) capacitances are also decreased as the separation circuit operation.
between them broadens. For smaller separations, each CNT

128
C. Choice of Carbon Nanotube Diameter TABEL II. COMPARISON OF PARAMETERS OF CNFET, HYBRID
TECHNOLOGY AND BULK MOSFET INVERTING AMPLIFIERS AT 32NM NODE
Diameter and helicity decide the electronic properties of
Single wall CNTs resulting from the selective axial folding of Operational amplifier at 32nm-CNFET
32nm Bulk
2-D zero gap semiconducting material, Graphene with an CL = 10pF with S=12nm,
MOSFET
energy gap between the filled hole states and the empty Vdd= 0.9V DCNT= 1.8nm
electron states given by eq (2) [14]. Analytical results show DC Gain dB 19 9.1797
that with diameter increase, all circuit performance parameters 3 DB BW MHz 0.167 14.568
suffer with the exception of some improvement in bandwidth GBP MHz 10.152 37.842
and transient performance as shown in Fig. 6-8. Since Phase Margin Degrees 153.483 126.35
diameter is the main parameter that affects the on-current DC CMRR dB 62.974 -1.9214
proportionally (and hence transconductance) in a CNFET 0-dB CMRR dB 81.968 8.7727
apart from barrier height at the S/D contact (or RS/D), chirality DC PSRR dB 77.028 15.509
and oxide thickness, due to which gain and CMRR drop
0-dB PSRR dB 13.607 15.976
appreciably. Moreover, the power consumption of the
Rise Slew rate V/us 22.452 94.645
amplifier increases due to smaller bandgap as CNTs become
more conducting thereby enhancing the current drive which is Fall Slew rate V/us -4.2053 -71.898
also a reason for better slewing performance obtained. Settling
us 0.3575 0.6481
time(1%)
Bandwidth improves with an increase in diameter due to
Average Power mW 0.30544 1.5866
smaller effective pitch which strongly affects the outer fringe
and Cgc capacitances due to enhanced screening between V. CONCLUSION
adjacent channels. It is also observed that for lower diameters,
stability margins become very low indicating the loss of This work explores the advantages and disadvantages offered
robustness. We conclude that OPAMP could be designed for by newly emerging CNFET technology over the existing
low power-low bandwidth and high power-high bandwidth CMOS amplifier design which ceases to scale further to
applications on the basis of the selection of diameter. Here we deliver the desired performance merits. Moreover, we
select the optimum diameter value to be around 1.8nm. conclude that depending on performance requirements,
diameter (also responsible for scaling) plays an important role
IV. PERFORMANCE COMPARISON OF CNFET WITH CMOS and will limit the performance delivered by CNFET in future
TECHNOLOGY DESIGN e.g. low power design suits to smaller diameter while
Best simulation results obtained after several iterations show sacrificing the higher bandwidth requirements. Moreover, as a
that CNFET based amplifier outperforms the CMOS amplifier future scope of this work, more complex circuits could be
for various parameters like Gain (218% higher), settle timings investigated along with their hybrids and other nanoscale
(93% faster), power consumption (318 % lower) and noise alternatives could also be taken up for performance
performance as shown in Table II. Alongside, we observe that comparison to measure their capabilities for future analog and
CNFET offers low bandwidth for larger loads (~10pF) and mixed signal design.
relatively average slewing performance attributed to lower REFERENCES
current driving capability as effective density of states in
[1] Semiconductor I n d u stry Association. International Technology
nanotubes is much lower compared with CMOS because of Roadmap for Semiconductors—2004 Update: Overview and
semi metallic graphene behavior. In order to improve the Summaries, 2004. Online Available:
slewing performance of the CNFET OPAMP, we have carried http://www.itrs.net/Common/2004Update/2004Update.htm
out the optimum analysis by varying the pitch and number of [2] S.Ijima, ―Helical microtubules of graphitic carbon‖ Nature 56, 354
nanotubes of both the M6 and M7 transistors of the output (1991).
stage in order to improve the driving capability and slewing [3] Sander J. Tans, Alwin R. M. Verschueren, and Cees Dekker.
Room-temperature transistor based on a single carbon nanotube.
performance of the circuit, which was not better as per Nature, 393:49–52, 1998.
observations. We observe that for minimal pitch (i.e except the [4] Paul L. McEuen, Michael S. Fuhrer, and Hongkun Park. Single-
power consumption which avoids the usage of a maximum walled carbon nanotube electronics. IEEE Trans. Nanotechnol.,
number of maximum number of tubes), overall performance of 1(1):pp. 78–85, 2002.
the OPAMP improves tubes in this stage. Hence for optimum [5] T. Du¨rkop, E. Cobas, and M. S. Fuhrer, ―High-mobility semiconducting
nanotubes in Molecular Nanostructures‖ , pp. 524–527, 2003
design, keeping power under practical limits, we obtain the
[6] A. Tilke, L. Pescini, A. Erbe, H. Lorenz, and R. H. Blick. Electron-
optimal pitch to be 8nm and accordingly the numbers of CNTs phonon interaction in suspended highly doped silicon nanowires.
used are modified to keep the width constant. Moreover, Nanotechnology, 13:491–494, 2002.
choice of diameter in the range 1.5 nm to 2.0 nm offers the [7] H.-S. P. Wong, ―Beyond the conventional transistor‖, IBM Journal of
flexibility to the device designer looking into the Power- Research & Development, vol.46, no.2-3, 2002, pp.133-68. Publisher:
Bandwidth considerations along with the On-chip area IBM, USA
requirements constraints. [8] J. Deng and H.-S. P.Wong, ―A compact SPICE model for carbon
nanotube field effect transistors including non-idealities and its
application—Part II: Full device model and circuit performance

129
benchmarking,‖ IEEE Trans. Electron Devices, vol. 54, no. 12, pp. [11] B.Razaavi, ―Analog Integrated Circuit Design‖, Textbook, John Wiley
3195–3205, Dec. 2007. and Sons, USA, pp. 240
[9] J. Deng and H.-S P. Wong, ―Modeling and Analysis of Planar Gate [12] B.Yu, W. Haihong, A. Joshi, Q. Xiang, E. Ibok, M. Lin,‖15nm gate
Capacitance for 1-D FET with Multiple Cylindrical Conducting length planar CMOS transistor.‖, IEDM Tech Digest, 2001, pp 937-939.
Channels‖, IEEE Transactions on Electron Devices, vol. 54, pp.2377- [13] J. Appenzeller,Yu-Ming Lin, J. Knoch, Z. Chen, and P. Avouris,‖1/f
2385, vol. 54, 2007. Noise in Carbon Nanotube Devices-On the Impact of Contacts and
[10] U. B. S. Chandrawat and D. K. Mishra, ―Fast settling opamp with low Device Geometry‖ IEEE Trans. on Nanotechnology, no. 3, Vol. 6,2007
power consumption‖, International Journal of Electronics, Vol. 94, No. [14] Teri Wang Odom, Jin-Lin Huang, Philip Kim & Charles M. Lieber,
7, July 2007, 683–698. ―Atomic structure and electronic properties of single-walled carbon
nanotubes‖, Nature, vol 391, 1 january 1998, pp.62-64.

130

You might also like