You are on page 1of 11

IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO.

6, NOVEMBER 2018 1259

Understanding Energy Efficiency Benefits of Carbon


Nanotube Field-Effect Transistors for Digital VLSI
Gage Hills , Marie Garcia Bardon, Gerben Doornbos , Dmitry Yakimets , Member, IEEE,
Pieter Schuddinck, Member, IEEE, Rogier Baert, Doyoung Jang, Luca Mattii, Syed Muhammed Yasser Sherazi,
Dimitrios Rodopoulos, Romain Ritzenthaler , Member, IEEE, Chi-Shuen Lee, Aaron Voon-Yew Thean,
Iuliana Radu, Alessio Spessot, Peter Debacker , Member, IEEE, Francky Catthoor, Fellow, IEEE,
Praveen Raghavan, Max M. Shulaker , H.-S. Philip Wong , Fellow, IEEE, and Subhasish Mitra , Fellow, IEEE

Abstract—Carbon Nanotube Field-Effect Transistors (CNFETs) Index Terms—Carbon nanotube (CNT), carbon nanotube field-
are highly promising to improve the energy efficiency of digital effect transistor (CNFET), energy-efficient digital very-large-scale
logic circuits. Here, we quantify the Very-Large-Scale Integrated integrated (VLSI) circuits.
(VLSI) circuit-level energy efficiency of CNFETs versus advanced
technology options (ATOs) currently under consideration [e.g.,
silicon-germanium (SiGe) channels and progressing from today’s I. INTRODUCTION
FinFETs to gate-all-around nanowires/nanosheets]. We use O ENABLE significant improvement in energy efficiency
industry-practice physical designs of digital VLSI processor cores
in future technology nodes with millions of transistors (includ-
ing effects from parasitics and interconnect wires) and technol-
T for digital logic circuits (EDP is a widely-used metric
[1]), multiple potential technology options are being explored.
ogy parameters extracted from experimental data. Our analysis For example, CNFETs promise to improve energy efficiency
shows that CNFETs are projected to offer 9× energy-delay prod- of digital VLSI circuits. Experimental demonstrations have
uct (EDP) benefit (∼3× faster while simultaneously consuming shown high-performance/energy-efficient CNFETs [2]–[5], and
∼3× less energy) compared to Si/SiGe FinFET. The ATOs provide
<50% EDP benefits. All analyses are performed at the same off- CNFET-based processors and nanosystems [6], [7]. Previous
state leakage current density (ࣘ100 nA per micron of FET width) publications on CNFET EDP benefits relied on at least one of:
and power density (ࣘ100 W/cm2 of chip area). This analysis pro- simplified transistor models (e.g., fixed on-current (ION ) and
vides insights into the sources of CNFET EDP benefits and ad- off-current (IOFF ) for fixed technology parameters such as fixed
dresses key questions for deeply-scaled technologies. For instance, gate length (LG )), simplified circuit models (e.g., no parasitics
while contact resistance is a concern for sub-10 nm nodes, CNFETs
still provide up to 6.0× EDP benefit (versus Si/SiGe FinFETs) using from physical layouts), and small circuit blocks (e.g., adder)
CNFET contact resistance values already experimentally achieved [4], [8], [9] that may not capture effects of long wires. Detailed
for 9 nm contact length. analysis accounting for important effects present in realistic
VLSI circuits (e.g., wire parasitics, routing congestion, tim-
ing constraints for sequential logic) is required. Also, by fixing
key parameters across technologies (e.g., LG , IOFF , supply volt-
Manuscript received May 30, 2018; accepted September 7, 2018. Date of age: VDD ), previous publications (e.g., [3], [4]) did not exploit
publication September 28, 2018; date of current version November 8, 2018. technology-specific optimization of these parameters.
G. Hills, C.-S. Lee, H.-S. P. Wong, and S. Mitra are with the Department of
Electrical Engineering, Stanford University, Stanford, CA 94305 USA (e-mail:, Here, we present the first EDP comparison of various promis-
ghills@alumni.stanford.edu; chishuen@stanford.edu; hspwong@stanford.edu; ing technology candidates (Fig. 1) for future technology nodes,
subh@stanford.edu). using physical designs of VLSI processor cores (additional tech-
M. G. Bardon, D. Yakimets, P. Schuddinck, R. Baert, D. Jang, S. M. Y. Sherazi,
D. Rodopoulos, R. Ritzenthaler, I. Radu, A. Spessot, P. Debacker, F. Catthoor, nology options include negative capacitance effects of ferroelec-
and P. Raghavan are with Inter-University Micro-Electronics Center, Leu- tric materials in FET gate stacks [14]; these can potentially be
ven 3001, Belgium (e-mail:,Marie.GarciaBardon@imec.be; dmitry.yakimets@ combined with the technology candidates in Fig. 1 to achieve ad-
imec.be; Pieter.Schuddinck@imec.be; Rogier.Baert@imec.be; Doyoung.
Jang@imec.be; Yasser.Sherazi@imec.be; d.rodopoulos@gmail.com; Romain. ditional EDP benefits [15]). We leverage industry-practice VLSI
Ritzenthaler@imec.be; Iuliana.Radu@imec.be; Alessio.Spessot@imec.be; designs and design flows, and technology parameters calibrated
Peter.Debacker@imec.be; Francky.catthoor@imec.be; praveenr@gmail.com). to experimental data (details of our methodology are in the Ap-
G. Doornbos is with the Exploratory Transistor Program, Taiwan Semi-
conductor Manufacturing Company, Leuven 3001, Belgium (e-mail:, gerben_ pendix) to: 1) quantify the EDP benefits of each technology
doornbos@tsmc.com). (Section II), and 2) provide insight into the sources of CNFET
L. Matti is with the Cadence, Leuven 3001, Belgium (e-mail:, mlucaita@ benefits (Section III). We also address several key questions for
cadence.com).
A. V.-Y. Thean is with the Department of Electrical and Computer Engi- deeply-scaled technologies (Section IV).
neering, National University of Singapore, Singapore 119077 (e-mail:, aaron. In the following sections, we analyze digital circuits with re-
thean@nus.edu.sg). alistic process assumptions for two future sub-10 nm technology
M. M. Shulaker is with the Department of Electrical Engineering, Mas-
sachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail:, nodes. Tables I and Tables II in the Appendix present technology
shulaker@mit.edu). details and transistor performance metrics for what we will here-
Digital Object Identifier 10.1109/TNANO.2018.2871841 after label as “7 nm node” and “5 nm node”, respectively [16].
1536-125X © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications standards/publications/rights/index.html for more information.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
1260 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 6, NOVEMBER 2018

Fig. 2. OpenSPARC T2 processor core energy vs. clock frequency across


FET technologies: 7 nm node. Projected CNFET offers 9.0× EDP benefit vs.
experimental Si/SiGe FinFET for the same IOFF density (100 nA/μm) and
power density (∼65 W/cm2 ); experimental Si/SiGe NWFET offers <30% EDP
benefit.
Fig. 1. FET technology candidates. (a) FinFET (side view through a trans-
parent drain) with multiple fins (pitch: P FIN , height: H FIN , thickness: T FIN ).
(b) Cross-section transmission electron microscope (TEM) image of FinFET density (∼65 W/cm2 , which satisfies the ࣘ100 W/cm2
channel [10]. (c) Nanowire (NW) FET (NWFET) with multiple NWs (hor-
izontal pitch: P H , vertical pitch: P V , vertical offset: O V ). (d) Cross-section constraint [28]).
TEM of NWFET channel (NW diameter: D NW ) [11]. (e) Nanosheet (NSh) FET 3) Experimental CNFET–with carbon nanotube (CNT)-
(NShFET) with multiple nanosheets (thickness: T NSH , width: W NSH , vertical metal contact resistance RC = 18.25 kΩ/CNT (at each
pitch: P V , vertical offset: O V ). (f) Cross-section TEM of NShFET channel
[12]. (g) Extremely-thin silicon-on-insulator (ETSOI). (h) Cross-section TEM source/drain contact), experimentally demonstrated for
of silicon channel (thickness: T SOI ) [13]. (i) CNFET with multiple parallel PMOS CNFETs with 9 nm contact length (LC ) [29]–
CNTs (CNT pitch: P CNT ). (j) Scanning electron microscope (SEM) image offers 5.6× EDP benefit vs. experimental Si/SiGe Fin-
of CNFET channel (top view) [5]. (k) CNT (diameter: D CNT ). (l) top-view
FET schematic (shown for CNFET), indicating width: W , gate length: L G , FET. Projected CNFET 9.0× EDP benefit is for RC =
source/drain contact length: L C , extension region length: L X , contacted gate 3.25 kΩ/CNT (the projected physical limit for con-
pitch: C GP = L G + L C + 2L X . tact resistance to a one-dimensional quasi-ballistic nan-
otube/nanowire for a single sub-band [27], which has been
II. RESULTS: ENERGY EFFICIENCY COMPARISONS approached experimentally for LC > 9 nm [18]). Note
A. Results: 7 nm Technology Node that, RC < 3.25 kΩ/CNT may also be achieved by access-
ing multiple sub-bands, e.g., with future advances in con-
Fig. 2 quantifies the speed and energy of the processor core tact doping).1 CNT pitch (PCNT ) of 4 nm [30] is used for
of OpenSPARC T2 (7 nm node), a large multi-core chip that both experimental and projected CNFET (PCNT < 2 nm
closely resembles the commercial Oracle/SUN Niagara 2 [17] has been achieved experimentally in [31]).
(results for a 32-bit commercial processor core at the 5 nm
node are shown in Fig. 3). 7 nm node technology details and B. Results: 5 nm Technology Node
FET performance metrics are summarized in Table I (5 nm
node details in Table II). For CNFETs and ATOs, we analyze Fig. 3 quantifies the speed and energy of a 32-bit commercial
technologies calibrated to experimental data [3], [10], [18]–[26] processor core at the 5 nm node, for both experimental and
(referred to as experimental technologies), and also projected projected technologies. FET parameters are in Table II.
technologies, which exceed the best experimental results to-date 1) Projected Si/SiGe FinFET offers <20% EDP benefit
(while adhering to physical limits, e.g., for contact resistance vs. experimental Si/SiGe FinFET, and projected Si/SiGe
[27]; details in Tables I and II). Projected technologies explore Nanosheet FET (NShFET) offers <50% EDP benefit vs.
potential EDP benefits beyond what can be achieved today. experimental Si/SiGe FinFET.
1) Experimental Si/SiGe nanowire FET (NWFET) offers 2) Projected CNFET offers 9.3× EDP benefit vs. experimen-
<30% EDP benefit vs. experimental Si/SiGe FinFET, tal Si/SiGe FinFET: 3.1× faster clock frequency while
projected Si/SiGe NWFET offers <40% EDP benefit vs. simultaneously consuming 3.0× less energy per clock cy-
experimental Si/SiGe FinFET, and projected Si/SiGe Fin- cle; the same constraints described for the 7 nm node
FET offers <50% EDP benefit vs. experimental Si/SiGe results are also satisfied (i.e., IOFF density ≤ 100 nA/μm
FinFET. and power density ࣘ 100 W/cm2 ).
2) Projected CNFET offers 9.0× benefit vs. experimen- 3) Experimental CNFET (with RC = 18.25 kΩ/CNT) of-
tal Si/SiGe FinFET: 3.0× faster clock frequency while fers 6.0× EDP benefit vs. experimental Si/SiGe Fin-
simultaneously consuming 3.0× less energy per clock FET (projected CNFET 9.3× EDP benefit is for RC =
cycle; these EDP-optimal designs for projected CNFET 3.25kΩ/CNT: Table II).
and experimental Si/SiGe FinFET have the same IOFF
density (100 nA/μm: typical for high-performance/low 1 In this limit, R = R = h/2n e2 , where R : the resistance quantum, h:
C Q V Q
threshold voltage technology options [28]) and power Planck’s constant, e: electron’s charge, nV : band degeneracy [27].

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
HILLS et al.: UNDERSTANDING ENERGY EFFICIENCY BENEFITS OF CARBON NANOTUBE FIELD-EFFECT TRANSISTORS FOR DIGITAL VLSI 1261

Fig. 3. 32-bit commercial processor core energy vs. clock frequency results
across FET technologies: 5 nm node. Projected CNFET offers 9.3× EDP benefit
vs. experimental Si/SiGe FinFET for the same IOFF density and power density
constraints (IOFF density ≤ 100 nA/μm, power density ≤ 100 W/ cm2 ); pro-
jected Si/SiGe NShFET offers <50% EDP benefit. Note that, the energy and
clock frequency values for the 32-bit commercial processor core here are not
related the energy and clock frequency values for the OpenSPARC T2 processor
core in Fig. 2, since the architectures of the two processor cores are distinct (in
particular, the 32-bit commercial processor core here comprises ∼30× fewer
logic gates, contributing to smaller energy per cycle).
Fig. 4. Key CNFET technology advantages. (a) Trade-off between SS and
III. CNFET ENERGY EFFICIENCY BENEFITS C G , illustrating the importance of small electrostatic scale length (λ). For each
technology, the marked point indicates SS and C G for the EDP-optimal design
To quantify key CNFET EDP that enable energy-efficient in Fig. 2, and then each trade-off curve illustrates the effect of sweeping L G
digital VLSI logic circuits, a useful metric is the electrostatic (from 9 nm to 22 nm with fixed C GP and fixed L C : larger L G improves
SS at the cost of increased C G ). Both intrinsic and parasitic components are
scale length (λ) [32], which quantifies how susceptible a FET included in C G : the total gate capacitance per micron of effective channel
is to short-channel effects [33]; it should be small to enable width (W EFF : which accounts for the three-dimensional (3D) topology of the
shorter LG (thus improving gate capacitance: CG ) without de- semiconductor channel, e.g., the effective width of a FinFET is proportional
to T FIN + 2H FIN , which may exceed the width per unit footprint). (b) Despite
grading sub-threshold slope (SS). Two approaches for reduc- the benefit of small λ to achieve steep SS and small C G , scaled λ significantly
ing λ are: 1) improve FET geometry (e.g., from top-gate to degrades experimentally-measured carrier mobility: references (details below)
gate-all-around (GAA)), and 2) reduce the semiconductor body indicate the best-reported experimentally-measured long channel peak mobility
vs. body thickness data (see [35] for the relationship between long channel
thickness (TBODY ). While evolving from planar Si FET to 3D mobility and “apparent mobility” for short-channel FETs), and λ is determined
FinFET to GAA NWFET reduces λ (Fig. 4(a)), continued signif- from the T BODY using additional technology parameters (e.g., T OX : see Table I).
icant λ reduction requires reducing TBODY . However, for bulk (c) Optimized λ, mobility, velocity, C G , and SS, and resulting VLSI circuit
benefits for the EDP-optimal design in Fig. 2: CNFETs can deliver higher IEFF
materials (e.g., all Si-, Ge-, and III-V-based semiconductors), with lower V DD and lower total circuit capacitance (C TOTAL ), for the same IOFF
carrier transport severely degrades as TBODY scales to sub-10 nm and power density. References in (b) are: [A]: [26], [B]: [25], [C]: [19], [D]:
dimensions [10], [19]–[21], [24], [25] (Fig. 4(b)) due to en- [24], [E]: [20], [F]: [21], [G]: [10].
hanced phonon and surface roughness scattering, resulting in 2) Thin TBODY results in very short λ (Fig. 4(a)). Therefore,
degraded effective drive current (IEFF ). experimental CNFETs maintain steep sub-threshold slope
Here is the key advantage of CNFET: CNTs inherently main- (SS) with deeply-scaled LG (e.g., SS = 70 mV/ decade
tain superior carrier transport even at very thin (∼1-2 nm) TBODY with LG = 5 nm, which has been shown for both PMOS
(experimental hole mobility: >2,500 cm2 /V.s [26]), and experi- and NMOS CNFETs experimentally [4]).
mental hole virtual source velocity vX 0 = 4.1 × 107 cm/s [22], 3) Scaled CNFET LG enables low total circuit capacitance
for DCNT < 2 nm). In contrast, experimental Si FinFET demon- (e.g., 2× lower for projected CNFET vs. experimen-
strations with TBODY < 3 nm exhibit mobility <300 cm2 /V.s tal Si/SiGe FinFET: Fig. 4(c)). Due to high IEFF , elec-
(Fig. 4(b)).2 This leads to major energy efficiency benefits for tronic design automation (EDA) tools can meet circuit-
CNFETs: level timing constraints using planar CNFETs, which have
1) CNFET VLSI circuits can operate at reduced VDD with lower gate capacitance (CG < 1.0 fF/μm)4 vs. FinFETs,
simultaneously higher IEFF vs. FinFET (e.g., 20% lower NWFETs, and NShFETs. In contrast, FinFETs, NWFETs,
VDD with 25% higher IEFF at the same IOFF for projected and NShFETs leverage channels that extend vertically
CNFET vs. experimental Si/SiGe FinFET: Fig. 4(c)).3 above the substrate to increase IEFF at the cost of higher

2 While efficient carrier transport is required for high I


E F F , density of states
must be sufficient to provide available carriers. CNTs do not suffer from a 4 Intrinsic gate-to-channel capacitance (C
G C : Fig. 9b) is proportional
limited density of states, as they exhibit quantum capacitance (proportional to to LG , and parasitic gate-to-source/drain capacitance (CG S , PA R A S IT IC =
density of states) of 0.1-0.2 F/m2 (for PC N T = 4 nm, DC N T = 1.7 nm) [22], CG D , PA R A S IT IC = CS P + CIF + CO F : Fig. 9b) is inversely proportional to
comparable to Si (∼0.1 F/m2 [34]). source/drain extension length: LX (Fig. 9b); thus, shorter LG reduces CG C and
3 In addition to carrier mobility, other metrics for carrier transport, e.g., injec- also reduces CG S , PA R A S T IC and CG D , PA R A S IT IC (as it enables larger LX
tion velocity (Fig. 4c), also degrade as TB O D Y scales [22]. for fixed CGP (often fixed for a technology node)).

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
1262 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 6, NOVEMBER 2018

Fig. 5. CNFET EDP benefits vs. CNT-metal contact resistance. (a) ID


vs. V DS , where the threshold voltage is shifted to achieve IOFF density =
100 nA/μm for V GS = 0 V and V DS = V DD . (b) Even with severely degraded
R C = 50 kΩ/CNT (for L C = 9 nm, larger than experimentally demonstrated
R C = 18.25 kΩ/CNT for the same L C [29]), CNFET VLSI circuits offer sig-
nificant energy efficiency benefits vs. FinFET (shown for the OpenSPARC core
module: “lsu”), using the same VLSI circuit design and analysis methodology
in Section II to derive results in Fig. 2 & Fig. 3.

Fig. 8. Interconnect summary. (a) 3D illustration of 7 nm node inverter.


(b) Wire dimensions for the 7 nm and 5 nm nodes. (c) Cumulative distribu-
tion of wire resistance per unit length (R W ) for the 7 nm node OpenSPARC T2
core (for the EDP-optimal design using CNFETs in Fig. 2; cumulative distribu-
Fig. 6. Wire energy and delay in both Si- and CNFET-based circuits. (a) Ex- tion of wire capacitance per unit length (C W ) shown in (d)). (e) Distribution of
ample schematic showing energy/delay components attributed to interconnect R W for the 5 nm node 32-bit commercial processor core (for the EDP-optimal
wires vs. logic gates. (b) Critical path delay and energy per cycle, separated design using CNFETs in Fig. 3; distribution of C W shown in (f)).
into energy/delay attributed to interconnects vs. logic gates (for the 32-bit com-
mercial processor core in Fig. 3); BEOL interconnect accounts for: 34% of the
critical path delay and 24% of the total energy for FinFET, vs. 56% of the critical
path delay and 49% of the total energy for CNFET.

Fig. 9. Process design kit (PDK) summary, illustrated for CNFET. (a) 5 nm
node standard cell layouts (example library cells: AOI21_X1, BUF_X2), with
standard cell height equivalent to 5.5 metal routing tracks [16]. (b) Parasitic com-
ponents in FET compact models (shown for CNFET). (c) FET gate capacitance
components and (d) FET series resistance components (relevant parameters in
Table II).

parasitic CGS,PARASITIC and CGD,PARASITIC (these parame-


ters are illustrated in Fig. 9(b)).
4) Despite RC non-idealities in experimental CNFETs today
Fig. 7. (a) VLSI design and analysis flow, including experimentally measured (RC = 18.25 kΩ/CNT for LC = 9 nm) [29], experimental
CNFET drain current vs. drain-to-source voltage (ID vs. V DS ) to calibrate the
CNFET compact model [22]. (b) Energy vs. clock frequency, showing the
CNFET offers 5.6× EDP benefit vs. experimental Si/SiGe
Pareto-optimal trade-off curve and EDP-optimal design (over many designs). FinFET.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
HILLS et al.: UNDERSTANDING ENERGY EFFICIENCY BENEFITS OF CARBON NANOTUBE FIELD-EFFECT TRANSISTORS FOR DIGITAL VLSI 1263

IV. CONSIDERATIONS FOR DEEPLY-SCALED TECHNOLOGIES VLSI-compatible manner by a combination of processing and
design techniques: the imperfection-immune paradigm [30],
A. CNT-Metal Contact Resistance
[39], [40], which enabled large-scale CNFET circuit demon-
CNFETs can deliver higher drive current vs. FinFETs strations [6], [7]. This approach preserves >90% of projected
(for the same IOFF ) despite higher contact resistance (RC = 9× CNFET EDP benefits (despite CNT variations) [39], while
18.25 kΩ/CNT at each source/drain contact: shown experimen- simultaneously meeting circuit-level yield and noise margin
tally for LC = 9 nm [29]), corresponding to 75 Ω.μm for 4 nm constraints [39].
PCNT , vs. 14.3 Ω.μm for experimental Si/SiGe FinFET (for
LC = 14 nm and 2 × 10−9 Ω.cm2 contact resistivity: Table I). V. CONCLUSION
For both CNFET and FinFET, drive current is affected by both
parasitic series resistance (including contact resistance) and the We demonstrate that CNFETs are projected to offer 9× EDP
resistance of the FET channel. For example, in the linear regime, benefit vs. Si/SiGe FinFET for VLSI circuits. ATOs are pro-
i.e., with VGS >> VDS , drain current (ID ) is strongly impacted jected to provide <50% EDP benefits. We also provide insights
by both contact resistance and by carrier mobility; superior into the sources of these major CNFET benefits, and address
mobility for CNFETs leads to higher ID in the linear regime key questions for deeply-scaled technologies. With CNFETs,
(ID,LINEAR ) despite higher contact resistance. For example, further EDP benefits are possible: 1) new gate stacks leveraging
Fig. 5(a) shows ID vs. VDS characteristics for CNFET with RC = negative capacitance [14]; 2) new monolithic 3D architectures
3.25 kΩ/CNT and RC = 18.25 kΩ/CNT, as well as FinFET (enabled by low-temperature fabrication of CNFETs) that ver-
(with 2 × 10−9 Ω.cm2 contact resistivity). For each case, it illus- tically interleave layers of logic and memory with ultra-dense
trates ID,LINEAR at VDS,LINEAR = 0.05 V with VGS = 0.5 V. For vertical connectivity (e.g., demonstrated in [7]), which promise
CNFET with RC = 3.25 kΩ/CNT, ID,LINEAR = 0.75 mA/μm, computing system-level energy efficiency benefits in the range
which exceeds 0.35 mA/μm for FinFET. Even for CNFET with of 1,000× [41], [42].
RC = 18.25 kΩ/CNT, ID,LINEAR = 0.27 mA/μm, but superior
virtual source velocity for CNFET (Table I) leads to higher APPENDIX
ID in the saturation regime: 1.49 mA/μm vs. 1.12 mA/μm for Three key features of our VLSI design flow and analysis
FinFET, at VDS = VGS = 0.5 V. This contributes to significant methodology (available for download: [43]) include:
CNFET EDP benefits vs. FinFET even with degraded RC vs. 1) SPICE-compatible FET compact models that are cali-
values shown experimentally, as shown in Fig. 5(b). Continued brated to experimental FET data for sub-10 nm nodes
RC improvements (e.g., RC = 3.25 kΩ/CNT, as described in (i.e., with physical dimensions applicable for sub-10 nm
Section II) further improve EDP. node CGP and metal pitch), and that include FET- and
standard cell-level parasitics (inverter shown in Fig. 8).
B. Interconnect These compact models account for several non-idealities,
including (but not limited to): direct source-to-drain tun-
While interconnect wire parasitics are significant for VLSI neling leakage current, parasitic gate-to-plug capacitance,
circuits, interconnect delay and energy improve in CNFET cir- fringing capacitance, and parasitic series resistance such
cuits (despite no material changes in interconnect technology as source/drain extension region resistance and contact
in this paper), as shown in Fig. 6. This is due to the improved resistance. Intrinsic parameters for carrier transport in the
IEFF , CG , and VDD of CNFETs (Fig. 4(c)-(d)). Further back-end- FET channel (e.g., mobility and injection velocity), have
of-line (BEOL) technology improvements (e.g., thinning copper been calibrated using experimental data [3], [10], [18]–
(Cu) diffusion barrier [36], or Cu replacement by Co or Ru) can [26] for sub-20 nm LG for the technologies analyzed,
result in additional EDP benefits. including CNFETs scaled to 9 nm LG . FET characteriza-
tion results are in Table I (7 nm node) and Table II (5 nm
C. Two-Dimensional (2D) Material FETs node).
While 2D material FETs also enable thin TBODY [37], their 2) Timing and power characterization of standard cell
carrier transport is lower vs. CNFETs (mobility: 380 cm2 /V.s vs. libraries over a range of FET- and circuit-level parameters
>2,500 cm2 /V.s, and virtual source velocity: 2.0×107 cm/s for including supply voltage (VDD : 0.35 − 0.60 V), off-state
black phosphorous [36] vs. 4.1×107 cm/s for CNFET [22]). This leakage current density (IOFF density: 0.015–100 nA/μm),
limits potential benefits for 2D materials FETs to 2.2× [36] even and gate length (LG : 9 − 22 nm). These libraries are then
for projected contact resistivity ρCON = 1.0 × 108 Ω.cm2 (vs. used in conjunction with industry-practice electronic
the lowest-reported ρCON = 3.0 × 10−7 Ω.cm2 for few-layer design automation (EDA) tools for synthesis (Synopsys
MoS2 [38]); Tables I and II show ρCON for technologies in Design Compiler) and place-and-route (Synopsys IC
this work. Compiler, Cadence Encounter) of processor core physical
designs (OpenSPARC T2 [17], and a commercial 32-bit
processor core targeting low power applications). These
D. CNT Imperfections and Variations
designs include parasitics extracted from standard cell
CNFETs are subject to CNT imperfections and variations layouts, as well as local and global metal interconnects.
[30] (e.g., mis-positioned CNTs, metallic CNTs, CNT density Back-end-of-line (BEOL) wire capacitances are deter-
and diameter variations). These challenges are overcome in a mined using a commercial 3D extraction tool (Synopsys

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
1264 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 6, NOVEMBER 2018

TABLE I
CHARACTERISTICS OF FETS: 7 NM NODE. N = “NFET”, P = “PFET” (UNSPECIFIED N/P APPLIES TO BOTH NFET AND PFET), CORRESPONDING TO THE
TECHNOLOGIES IN FIG. 1. ON-CURRENT DENSITY (ION : FET DRAIN CURRENT FOR V GS = V DS = V DD ) AND SUB-THRESHOLD SLOPE (SS SAT : MEASURED AT
V GS = 0 V WITH V DS = V DD ) CORRESPOND TO THE EDP-OPTIMAL DESIGNS FOR EACH TECHNOLOGY IN FIG. 2 (E.G., WITH DIFFERENT V DD FOR EACH
TECHNOLOGY). BOLD TEXT IS FOR Projected TECHNOLOGIES (DESCRIBED IN SECTION II), PLAIN TEXT CORRESPONDS TO Experimental TECHNOLOGIES
(SECTION II). MANY PARAMETERS ARE FIXED VS. FET TYPE TO FAIRLY COMPARE EDP, INCLUDING: C GP , M1 PITCH, T OX , EQUIVALENT OXIDE THICKNESS
(EOT ), CAPACITANCE EQUIVALENT THICKNESS (C ET , WHICH ACCOUNTS FOR THE “INVERSION LAYER THICKNESS,” I.E., DUE TO THE QUANTUM MECHANICAL
EFFECT THAT THE INVERSION CHARGE IS NOT LOCATED AT THE INTERFACE BETWEEN THE SEMICONDUCTOR AND THE GATE OXIDE). FOR T OX AND EOT :
SILICON-BASED FETS COMPRISE 0.5 NM SIO2 (k = 3.9) AND 1.5 NM HFO2 (k = 23), THE SAME IS USED FOR CNFET. FOR CNFET, QUANTUM CAPACITANCE IS
INCLUDED IN THE CNFET COMPACT MODEL AND SO C ET IS NOT APPLICABLE HERE. STANDARD CELL HEIGHT IS QUANTIFIED BY THE NUMBER OF M1
ROUTING TRACKS: 7.5 TRACKS FOR THE 7 NM NODE ANALYZED HERE. NOTE THAT, THE 5 NM NODE ANALYZED IN THIS WORK (DETAILS IN TABLE II) LEVERAGES
MORE HIGHLY-SCALED STANDARD CELL HEIGHT WITH 5.5 METAL TRACKS, AND 4.5 METAL TRACKS FOR WHICH NSHFET IS USED INSTEAD OF NWFET TO
INCREASE DRIVE CURRENT FOR FETS WITH SMALL WIDTH (NOTE THAT, FET WIDTH IS LIMITED BY THE STANDARD CELL HEIGHT) [44]. k SPACER : DIELECTRIC
CONSTANT OF OXIDE BETWEEN THE GATE AND SOURCE/DRAIN. PARAMETERS FOR BODY THICKNESS, CHANNEL PITCH, AND HEIGHT ARE SHOWN IN FIG. 1. LVT:
“LOW” THRESHOLD VOLTAGE (VT) TECHNOLOGY. PROJECTED CNFET HAS IMPROVED CONTACT RESISTANCE VS. EXPERIMENTAL CNFET. PROJECTED SI/SIGE
FINFET AND PROJECTED SI/SIGE NWFET HAVE THINNER BODIES (T FIN AND D NW , RESPECTIVELY, ILLUSTRATED IN FIG. 1) FOR IMPROVED ELECTROSTATIC
CONTROL, AND UNREALISTICALLY SUFFER no MOBILITY OR VELOCITY DEGRADATION DESPITE THE THINNER BODIES. GIVEN MANY OPTIONS FOR FUTURE
MEMORY TECHNOLOGIES – ESPECIALLY THOSE WHICH CAN BE MONOLITHICALLY INTEGRATED IN THREE DIMENSIONS ENABLING COMPUTATION IMMERSED IN
MEMORY [41] – ENERGY AND FREQUENCY IN THIS WORK ARE REPORTED FOR LOGIC (CACHES AND OTHER MEMORIES WARRANT SEPARATE ANALYSES).

StarRC) using wire dimensions and inter-layer dielectric #2 above)), we perform synthesis, place-and-route, and
constants. Wire resistance is computed using the Steinhögl power/timing characterization for multiple target clock
model with wire cross-section-area-dependent Cu resis- frequencies (i.e., to set timing constraints: 100 MHz to
tivity calibrated to experimental data (details in [36]). 10 GHz in steps of 100 MHz), thus enabling EDA tools to
3) VLSI processor core (including wire interconnects) EDP trade-off energy vs. clock frequency, e.g., through logic
optimization for each technology, selecting the set of gate sizing and buffer insertion. Each power/timing anal-
Pareto-optimal designs from over 200 design candidates ysis result is represented by a single point on the energy
(of the above processor core physical designs; a design vs. frequency plot in Fig. 7(b), and then only the Pareto-
is Pareto-optimal if no other design operates a higher optimal designs are recorded. In addition to this family of
clock frequency for less energy (Fig. 7(b)). For each stan- Pareto-optimal designs, the design with minimum EDP,
dard cell library (characterized over multiple VDD , IOFF , which also satisfies a maximum power density of 100
and technology parameters such as LG (as described in W/cm2 [28] is used to quantify the relative energy effi-

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
HILLS et al.: UNDERSTANDING ENERGY EFFICIENCY BENEFITS OF CARBON NANOTUBE FIELD-EFFECT TRANSISTORS FOR DIGITAL VLSI 1265

TABLE II
CHARACTERISTICS OF FETS: 5 NM NODE. AS IN TABLE I, N = “NFET”, P = “PFET”, AND UNSPECIFIED N/P APPLIES TO BOTH NFET AND PFET; FOR EACH
TECHNOLOGY, VALUES CORRESPOND TO THE EDP-OPTIMAL DESIGN IN FIG. 3. COMPARED TO STANDARD CELL HEIGHT OF 7.5 M1 TRACKS FOR THE 7 NM NODE
TECHNOLOGIES IN TABLE I, CELL HEIGHT IS MORE HIGHLY SCALED TO 5.5 M1 TRACKS AND TO 4.5 M1 TRACKS, FOR WHICH NSHFET IS USED INSTEAD OF
NWFET TO IMPROVE FET DRIVE CURRENT FOR FETS WITH HIGHLY-SCALED WIDTH [44]. PROJECTED CNFET HAS IMPROVED CONTACT RESISTANCE VS.
EXPERIMENTAL CNFET. PROJECTED SI/SIGE FINFET AND PROJECTED SI/SIGE NANOSHEET FET EXHIBIT IMPROVED MOBILITY (DUE TO HIGHER STRESS IN THE
CHANNEL (1.6 GPA FOR BOTH NFET AND PFET) USING STRAIN RELAXED BUFFER (SRB) [45]). PROJECTED SI/SIGE FINFET ALSO USES A WRAP-AROUND
CONTACT (WAC) INSTEAD OF A DIAMOND-Epi CONTACT (DEC) TO IMPROVE CONTACT RESISTANCE BY INCREASING
THE CONTACT AREA WITH THE SAME FOOTPRINT [46].

ciency of each technology. Fig. 8 shows the distribution [7] M. M. Shulaker et al., “Three-dimensional integration of nanotechnolo-
of wire capacitance: CW and wire resistance: RW (per unit gies for computing and data storage on a single chip,” Nature, vol. 547,
no. 7661, pp. 74–78, 2017.
length) extracted from physical designs of process cores [8] D. E. Nikonov and I. A. Young, “Uniform methodology for benchmark-
at the 7 nm and 5 nm nodes (after place-and-route; results ing beyond-CMOS logic devices,” in Proc. IEEE Int. Electron Devices
correspond to the EDP-optimal design using CNFETs). Meeting, 2012, pp. 25.4.1–25.4.4.
[9] G. S. Tulevski et al., “Toward high-performance digital logic technol-
ogy with carbon nanotubes,” ACS Nano, vol. 8, no. 9, pp. 8730–8745,
REFERENCES 2014.
[10] Y. Sasaki et al., “Novel junction design for NMOS Si Bulk-FinFETs with
[1] R. Gonzalez and M. Horowitz, “Energy dissipation in general purpose extension doping by PEALD phosphorus doped silicate glass,” in Proc.
microprocessors,” IEEE J. Solid-State Circuits, vol. 31, no. 9, pp. 1277– IEEE Int. Electron Devices Meeting, 2015, pp. 21–28.
1284, Sep. 1996. [11] H. Mertens et al., “Gate-all-around MOSFETs based on vertically stacked
[2] G. J. Brady, A. J. Way, N. S. Safron, H. T. Evensen, P. Gopalan, and horizontal Si nanowires in a replacement metal gate process on bulk Si
M. S. Arnold, “Quasi-ballistic carbon nanotube array transistors with substrates,” in Proc. IEEE Symp. VLSI Technol., 2016, pp. 1–2.
current density exceeding Si and GaAs,” Sci. Adv., vol. 2, no. 9, 2016, Art. [12] N. Loubet et al., “Stacked nanosheet gate-all-around transistor to enable
no. e1601240. scaling beyond FinFET,” in Proc. Symp. VLSI Technol., 2017, pp. T230–
[3] A. D. Franklin et al., “Sub-10 nm carbon nanotube transistor,” Nano Lett., T231.
vol. 12, no. 2, pp. 758–762, 2012. [13] G. Tsutsui, M. Saitoh, T. Saraya, T. Nagumo, and T. Hiramoto, “Mobility
[4] C. Qiu, Z. Zhang, M. Xiao, Y. Yang, D. Zhong, and L.-M. Peng, “Scal- enhancement due to volume inversion in [110]-oriented ultra-thin body
ing carbon nanotube complementary transistors to 5-nm gate lengths,” double-gate nMOSFETs with body thickness less than 5 nm,” in Proc.
Science, vol. 355, no. 6322, pp. 271–276, 2017. IEEE Int. Electron Devices Meeting, 2005, pp. 729–732.
[5] M. M. Shulaker, G. Pitner, G. Hills, M. Giachino, H-S. Philip Wong, and [14] S. Salahuddin and S. Datta, “Use of negative capacitance to provide voltage
S. Mitra, “High-performance carbon nanotube field-effect transistors,” in amplification for low power nanoscale devices,” Nano Lett., vol. 8, no. 2,
Proc. IEEE Int. Electron Devices Meeting, 2014, pp. 33–36. pp. 405–410, 2008.
[6] M. M. Shulaker et al., “Carbon nanotube computer,” Nature, vol. 501, [15] T. Srimani et al., “Negative capacitance carbon nanotube FETs,” IEEE
no. 7468, pp. 526–530, 2013. Electron Device Lett., vol. 39, no. 2, pp. 304–307, Feb. 2017.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
1266 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 6, NOVEMBER 2018

[16] M. G. Bardon et al., “Extreme scaling enabled by 5 tracks cells: Holis- [38] C. D. English, G. Shine, V. E. Dorgan, K. C. Saraswat, and E. Pop,
tic design-device co-optimization for FinFETs and lateral nanowires,” in “Improved contacts to MoS2 transistors by ultra-high vacuum metal de-
Proc. IEEE Int. Electron Devices Meeting, 2016, pp. 28.2.1–28.2.4. position,” Nano Lett., vol. 16, no. 6, pp. 3824–3830, 2016.
[17] OpenSPARC T2 Processor Core, Dec. 2011. [Online]. Available: [39] G. Hills et al., “Rapid co-optimization of processing and circuit de-
http://www.opensparc.net/opensparc-t2 sign to overcome carbon nanotube variations,” IEEE Trans. Comput.-
[18] A. D. Franklin and Z. Chen, “Length scaling of carbon nan- Aided Design Integr. Circuits Syst., vol. 34, no. 7, pp. 1082–1095,
otube transistors,” Nature Nanotechnol., vol. 5, no. 12, pp. 858–862, Jul. 2015.
2010. [40] M. M. Shulaker, G. Hills, T. F. Wu, Z. Bao, H.-S. Philip Wong, and
[19] L. Gomez, I. Aberg, and J. L. Hoyt, “Electron transport in strained-silicon S. Mitra, “Efficient metallic carbon nanotube removal for highly-scaled
directly on insulator ultrathin-body n-MOSFETs with body thickness technologies,” in Proc. IEEE Int. Electron Devices Meeting, 2015, pp. 32–
ranging from 2 to 25 nm,” IEEE Electron Device Lett., vol. 28, no. 4, 34.
pp. 285–287, Apr. 2007. [41] M. M. S. Aly et al., “Energy-efficient abundant-data computing: The n3xt
[20] P. Hashemi et al., “Strained Si 1 − x Ge x-on-insulator PMOS FinFETs 1,000x,” Computer, vol. 48, no. 12, pp. 24–33, 2015.
with excellent sub-threshold leakage, extremely-high short-channel per- [42] W. Hwang et al., “3D nanosystems enable embedded abundant-data com-
formance and source injection velocity for 10 nm node and beyond,” in puting,” in Proc. IEEE/ACM Int. Conf. Hardware/Software Codesign Syst.
Proc. Symp. VLSI Technol. (VLSI-Technology), Digest Tech. Papers, 2014, Synthesis, 2017, pp. 1–2.
pp. 1–2. [43] G. Hills, “Variation-aware nanosystem design kit (NDK),” 2015. [Online].
[21] P. Hashemi et al., “First demonstration of high-Ge-content strained- Available: https://nanohub.org/resources/22582
Si1 −x Gex (x = 0.5) on insulator PMOS FinFETs with high hole mo- [44] D. Jang et al., “Device exploration of NanoSheet transistors for sub-
bility and aggressively scaled fin dimensions and gate lengths for high- 7-nm technology node,” IEEE Trans. Electron Devices, vol. 64, no. 6,
performance applications,” in Proc. IEEE Int. Electron Devices Meeting, pp. 2707–2713, Jun. 2017.
2014, pp. 16.1.1–16.1.4. [45] L. Witters et al., “Strained germanium quantum well pMOS FinFETs
[22] C.-S. Lee, E. Pop, A. D. Franklin, W. Haensch, and H.-S. P. Wong, “A fabricated on in situ phosphorus-doped SiGe strain relaxed buffer layers
compact virtual-source model for carbon nanotube FETs in the sub-10- using a replacement Fin process,” in Proc. IEEE Int. Electron Devices
nm regime—Part I: Intrinsic elements,” IEEE Trans. Electron Devices, Meeting, 2013, pp. 20–24.
vol. 62, no. 9, pp. 3061–3069, Sep. 2015. [46] C.-H. Chou, C.-C. Hsu, W.-K. Yeh, S. S. Chung, and C.-H. Chien, “3D-
[23] C.-S. Lee, E. Pop, D. F. Aaron, W. Haensch, and H.-S. P. Wong, “A TCAD simulation study of the contact all around T-FinFET structure for
compact virtual-source model for carbon nanotube FETs in the sub-10-nm 10nm metal-oxide-semiconductor field-effect transistor,” in Proc. IEEE
regime—Part II: Extrinsic elements, performance assessment, and design Silicon Nanoelectron. Workshop, 2016, pp. 190–191.
optimization,” IEEE Trans. Electron Devices, vol. 62, no. 9, pp. 3070–
3078, Sep. 2015.
[24] S. D. Suk et al., “Investigation of nanowire size dependency on
TSNWFET,” in Proc. IEEE Int. Electron Devices Meeting, 2007, pp. 891–
894. Gage Hills received the Ph.D. degree from Stanford
[25] K. Uchida, J. Koga, and S.-I. Takagi, “Experimental study on carrier trans-
University, Stanford, CA, USA, in 2018, advised by
port mechanisms in double-and single-gate ultrathin-body MOSFETs-
Prof. Subhasish Mitra and co-advised by Prof. H.-S.
Coulomb scattering, volume inversion, and/spl δTS O I -induced scatter-
Philip Wong.
ing,” in Proc. IEEE Int. Electron Devices Meeting Tech. Digest, 2003,
He is currently a Postdoctoral Researcher at
pp. 33–35.
Massachusetts Institute of Technology. His current
[26] X. Zhou, J.-Y. Park, S. Huang, J. Liu, and P L. McEuen, “Band struc-
research interests include development of very-large-
ture, phonon scattering, and the performance limit of single-walled scale integrated circuits using nanotechnologies, such
carbon nanotube transistors,” Phys. Rev. Lett., vol. 95, no. 14, 2005,
as carbon nanotube field-effect transistors.
Art. no. 146805.
[27] P. M. Solomon, “Contact resistance to a one-dimensional quasi-ballistic
nanotube/wire,” IEEE Electron Device Lett., vol. 32, no. 3, pp. 246–248,
Mar. 2011.
[28] ITRS Roadmap, 2013. [Online]. Available: http://www.itrs.net/Links/
2013ITRS/Home2013.htm Marie Garcia Bardon received the M.Sc. degree in
[29] Q. Cao et al., “End-bonded contacts for carbon nanotube transistors with engineering from Université Catholique de Louvain,
low, size-independent resistance,” Sci., vol. 350, no. 6256, pp. 68–72, Belgium, in 2004, and the Ph.D. degree in electron-
2015. ics from Katholieke Universiteit Leuven in collabora-
[30] J. Zhang et al., “Robust digital VLSI using carbon nanotubes,” IEEE tion with Inter-University Micro-Electronics Center
Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 31, no. 4, (IMEC), Belgium, in 2010.
pp. 453–471, Apr. 2012. She is currently a Senior Research Scientist with
[31] Q. Cao, S.-J. Han, G. S. Tulevski, Y. Zhu, D. D. Lu, and W. Haensch, IMEC, where she has been continuously working on
“Arrays of single-walled carbon nanotubes with full surface coverage emerging technologies targeting ultrascaled nodes.
for high-performance electronics,” Nature Nanotechnol., vol. 8, no. 3, Her interests include analysis and modeling of CMOS
pp. 180–186, 2013. and beyond CMOS devices (FinFETs, nanosheets,
[32] D. J. Frank, Y. Taur, and H.-S. P. Wong, “Generalized scale length for two- Tunnel FETs, FerroFETs, CNTs), power-performance-area (PPA) analysis and
dimensional effects in MOSFETs,” IEEE Electron Device Lett., vol. 19, benchmarking of digital circuits, and design/technology co-optimization.
no. 10, pp. 385–387, Oct. 1998.
[33] K. J. Kuhn, “Considerations for ultimate CMOS scaling,”
IEEE Trans. Electron Devices, vol. 59, no. 7, pp. 1813–1828,
Jul. 2012.
[34] S. I. Takagi and A. Toriumi, “Quantitative understanding of inversion-layer
capacitance in Si MOSFET’s,” IEEE Trans. Electron Devices, vol. 42, Gerben Doornbos received the Ph.D. degree in
no. 12, pp. 2125–2130, Dec. 1995. physics from the Vrije Universiteit, Amsterdam, The
[35] M. S. Shur, “Low ballistic mobility in submicron HEMTs,” IEEE Electron Netherlands, in 2001. From 2000 to 2009, he was
Device Lett., vol. 23, no. 9, pp. 511–513, Sep. 2002. with Philips Research, which transitioned to NXP
[36] C.-S. Lee, B. Cline, S. Sinha, G. Yeric, and H-S. P. Wong, “32-bit processor Semiconductors in 2006. Since 2009, he has been
core at 5-nm technology: Analysis of transistor and interconnect impact on with TSMC Europe B.V., Leuven, Belgium, working
VLSI system performance,” in Proc. IEEE Int. Electron Devices Meeting, on technology computer-aided design (TCAD) of ad-
2016, pp. 28.3.1–28.3.4. vanced logic technologies.
[37] G. Fiori et al., “Electronics based on two-dimensional materials,” Nature
Nanotechnol., vol. 9, no. 10, 2014, Art. no. 768.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
HILLS et al.: UNDERSTANDING ENERGY EFFICIENCY BENEFITS OF CARBON NANOTUBE FIELD-EFFECT TRANSISTORS FOR DIGITAL VLSI 1267

Dmitry Yakimets (M’12) received the B.Eng. de- Syed Muhammed Yasser Sherazi received the B.Sc.
gree from Bauman Moscow State Technical Uni- degree in computer engineering from COMSATS
versity, Russia, in 2010, the M.Sc. degree from the Institute of Information Technology, Islamabad, Pak-
Institut Polytechnique de Grenoble, Grenoble, istan, in 2005, and the Master’s degree in system-
France, in 2012, and the Ph.D. degree from the on-chip from Linköping University, Linköping,
Katholieke Universiteit Leuven, Leuven, Belgium, Sweden, in 2008. He received the Ph.D. degree,
in 2016. He is currently with Inter-University Micro- in 2014, in digital ASIC design from the EIT De-
Electronics Center, Belgium, as an R&D Engineer, partment, Lund University, Lund, Sweden, where he
focusing on power, performance, and area bench- worked on design space analysis and construction
marking of emerging devices for advanced technol- of ultralow energy digital circuits focusing on dig-
ogy nodes. ital base-band for wireless devices. He is currently
a Postdoc with Inter-University Micro-Electronics Center, Leuven, Belgium,
where he is looking into digital gates and design technology co-optimization
for 10- and 7-nm technologies.

Pieter Schuddinck (M’12) received the M.Sc. de-


gree in electrotechnical engineering from Ghent
University, Ghent, Belgium, in 2005. He has been in-
volved in electronics research in both private and aca-
demic institutions. In 2011, he joined Inter-University
Micro-Electronics Center, Leuven, Belgium, as a De-
sign Engineer, where he has been focusing on model- Dimitrios Rodopoulos received the Dual Doctor-
ing and evaluation of FE/MOL parasitic impedances ate degree between NTUA, Greece, and KU Leu-
in advanced technology nodes. ven, Belgium, on reliable integrated circuit design
in 2016. During the Ph.D., he was a Principle In-
vestigator on the Intel SCC chip, supervised more
than 10 NTUA thesis students, and contributed to Eu-
ropean Commission projects HARPA, VINEYARD,
and TRAMS, as well as the Erasmus Brain Project.
He has co-authored more than 30 papers and 5
Rogier Baert received the M.Sc. degree in elec- EPO/USPTO applications. Since February 2016, he
trical engineering from the Eindhoven University has been an R&D Engineer, Inter-University Micro-
of Technology, Eindhoven, The Netherlands. He is Electronics Center, Belgium, focusing on design enablement of future technol-
currently a Senior R&D Engineer with the Semi- ogy nodes and design of machine learning chips with nonvolatile memories.
conductor Technology and Systems unit at Inter-
University Micro-Electronics Center, Leuven, Bel-
gium. His research interests include modeling and
analysis of on-chip interconnect, and system tech-
nology co-optimization for future process nodes.

Romain Ritzenthaler (M’09) received the M.Sc.


Doyoung Jang received the Ph.D. degree in elec- degree from the Ecole Nationale Superieure de
trical engineering from Korea University, Seoul, Physique de Grenoble, Grenoble, France, in 2003,
South Korea, and the International Dual Degree from and the Ph.D. degree from the Institut National
the Institut Polytechnique de Grenoble, Grenoble, Polytechnique de Grenoble, Grenoble, France, and
France, in 2012. Since 2012, he has been with Inter- the Laboratoire d’Electronique et Technologies de
University Micro-Electronics Center, Leuven, Bel- l’Information, Grenoble, France, in 2006.
gium. His current research interests include device Since 2011, he has been a Device Engineer
modeling, characterization, and circuit benchmark with Inter-University Micro-Electronics Center, Leu-
for design-enabled advanced CMOS technology ven, Belgium, where he is involved in the process
scaling. and characterization of DRAM periphery and bulk
FinFET transistors.

Luca Mattii received the B.S. and M.S. degrees


in electronic engineering from the University of Chi-Shuen Lee received the B.S. degree from Na-
Pisa, Pisa, Italy, in 2011 and 2014, respectively. tional Taiwan University, Taipei, Taiwan, in 2011, and
He is currently working toward the Industrial Ph.D. the Ph.D. degree from Stanford University, Stanford,
degree at Braunschweig University, Braunschweig, CA, USA, in 2018, both in electrical engineering. His
Germany. He is an Electrical Engineer working as research interests include modeling and simulation of
a Corporate Consultant for Cadence Design Sys- nanoscale MOSFETs and CMOS technology bench-
tems, currently supporting Inter-University Micro- marking.
Electronics Center on physical implementation at ad-
vanced nodes. His current research interests include
advanced nodes, design technology co-optimization,
and EDA.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
1268 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 17, NO. 6, NOVEMBER 2018

Aaron Voon-Yew Thean received the B.Sc. (Highest Peter Debacker (M’04) received the M.Sc. (Hons.)
Honors & Graduated as Edmund J. James’ Scholar), degree in electrical engineering from the Katholieke
the M.Sc., and Ph.D. degrees in electrical engineering Universiteit Leuven, Leuven, Belgium, in 2004. He
from the University of Illinois at Champaign-Urbana, was with Essensium, Leuven, The Netherlands. In
Champaign, IL, USA. He is currently a Professor 2011, he joined Inter-University Micro-Electronics
with the Department of Electrical and Computer En- Center (IMEC), Leuven, Belgium, where he is cur-
gineering, National University of Singapore (NUS). rently an R&D Team Leader in the Semiconductor
He is also a Consulting Fellow with Inter-University Technology and Systems division. He leads a team
Micro-Electronics Center (IMEC), a nano-electronic that evaluates key power-performance-area (PPA)
research center, Belgium. Prior to joining NUS in benefits of scaled CMOS technologies (5 nm, 3 nm,
2016, he was the IMEC’s Vice President of Logic and beyond) and Beyond CMOS technologies, and
Technologies and the Director of the Logic Devices Research. At IMEC, he develops technology, architecture, and algorithms for various machine learn-
directed the research and development of advanced device technologies ranging ing techniques like ConvNets, temporal predictions, anomaly prediction, etc.
from ultrascaled FinFETs, nanowire FETs, to III-V/Ge channels, tunnel FETs to In his past, he has worked on IMEC’s low-power digital chip and processor
emerging Beyond CMOS logic nano-device architectures based on spintronics architectures and implementation in advanced technology nodes. His current
and 2-D materials. He has been involved in Design and Process Technology research interests inclund neuromorphic computing, computer architectures,
Co-optimizations (DTCO) of emerging technologies targeting 7 nm, 5 nm, and design methodologies, design technology co-optimization, reliability, variabil-
beyond. ity, and low power design.
Before 2011, he was with Qualcomm’s CDMA Technologies, San Diego,
CA, USA. He has authored or coauthored more than 300 technical papers and
holds more than 50 U.S. patents

Francky Catthoor (F’05) received the Engineering


and Ph.D. degrees in electrical engineering from the
Katholieke Universiteit Leuven (KU Leuven), Leu-
ven, Belgium, in 1982 and 1987, respectively. Be-
tween 1987 and 2000, he has headed several research
domains in the area of high-level and system synthe-
Iuliana Radu received the B.Sc. and M.Sc. degrees sis techniques and architectural methodologies. Since
from the University of Bucharest, Bucharest, Roma- 2000, he has also been strongly involved in other ac-
nia, and the Ph.D. degree from the Massachusetts tivities at Inter-University Micro-Electronics Center
Institute of Technology, Cambridge, MA, USA, in (IMEC) including related application and deep sub-
2009, all in physics. micron technology aspects, biomedical imaging and
She is currently the Manager with the Logic sensor nodes, and smart photo-voltaic modules, all at the IMEC, Leuven, Bel-
Program, Inter-University Micro-Electronics Cen- gium. He is a part-time Full Professor with the EE Department of the KU
ter, Leuven, Belgium, where she is leading the Be- Leuven. In 1986, he received the Young Scientist Award from the Marconi In-
yond CMOS activities. She has authored more than ternational Fellowship Council. He is currently an IMEC Fellow. He has been
30 papers in leading peer-reviewed journals and an Associate Editor for several IEEE and ACM journals, like IEEE TRANSAC-
conferences. TIONS ON VLSI SIGNAL PROCESSING, IEEE TRANSACTIONS ON MULTIMEDIA,
and ACM TODAES. He was the Program Chair of several conferences including
ISSS’97 and SIPS’01.

Praveen Raghavan received the Bachelor’s degree in


Alessio Spessot received the M.S. degree (magna electrical engineering from the Regional Engineering
cum laude) in physics from the University of Tri- College, Trichy, India, and the Master’s degree from
este, Trieste, Italy, in 2003, and the Ph.D. degree Arizona State University, Tempe, AZ, USA, and the
in solid state physics from the University of Mod- Ph.D. degree from KU Leuven, Leuven, Belgium, in
ena, Modena, Italy, in 2007. He received an EMBA 2009 . In 2007, he was also a Visiting Researcher
from Vlerick Business School, Ghent, Belgium, in at Berkeley Wireless Research Center (BWRC), Uni-
2014 with great distinction. He was with STMicro- versity of Berkeley, CA, USA. He is currently leading
electronics (from 2006), Numonyx (from 2008), and the Group for Design Enabled Technology Explo-
Micron (from 2010). Since 2016, he has been manag- ration at Inter-University Micro-Electronics Center
ing the Inter-University Micro-Electronics Center In- (IMEC), where he manages the research on device-
site program, which focuses on establishing roadmap design co-optimization on CMOS and beyond CMOS technologies. In the past,
for emerging logic technologies. His current interests include design technology he has been the Lead Architect of IMEC’s multigigabit software-defined ra-
co-optimization and system technology co-optimization for logic and memo- dio baseband chipset. His research interests include design technology co-
ries. He has authored or co-authored more than 90 papers, and holds 10 issued optimization, PPAC, device modeling, design methodologies, reliability, vari-
patents. He was a member of E-MRS Scientific Committee, a Reviewer for ability, and low power design. He has authored or coauthored more than 150
IEEE and Elsevier, and a Technical Expert for European projects. conference and journal papers and holds more than 30 patents.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.
HILLS et al.: UNDERSTANDING ENERGY EFFICIENCY BENEFITS OF CARBON NANOTUBE FIELD-EFFECT TRANSISTORS FOR DIGITAL VLSI 1269

Max M. Shulaker is a Professor with the Depart- Subhasish Mitra (F’13) is a Professor of electri-
ment of Electrical Engineering and Computer Sci- cal engineering and of computer science at Stanford
ence, MIT, Cambridge, MA, USA, where he leads University, where he directs the Stanford Robust Sys-
the Novel Electronic Systems Group (NOVELS). His tems Group and co-leads the Computation focus area
research interests include the broad area of nanosys- of the Stanford SystemX Alliance. He is also a Fac-
tems. His research group focuses on understanding ulty Member of the Stanford Neurosciences Institute.
and optimizing multidisciplinary interactions across He holds the Carnot Chair of Excellence in Nanosys-
the entire computing stack—from low-level synthe- tems, CEA-LETI, Grenoble, France. Before joining
sis of nanomaterials, to fabrication processes and cir- the Stanford faculty, he was a Principal Engineer
cuit design for emerging nanotechnologies, up to new with Intel Corporation. His research interests range
architectures—to enable the next generation of high- broadly across robust computing, nanosystems, VLSI
performance and energy-efficient computing systems. His group also focuses design, validation, test and electronic design automation, and neurosciences. He,
on practically implementing new technologies to enable useful and impactful jointly with his students and collaborators, demonstrated the first carbon nan-
applications; the group has successfully performed technology transfer with otube computer and the first three-dimensional nanosystem with computation
industrial fabrication facilities. He personally designed and fabricated the most immersed in data storage. These demonstrations received wide-spread recog-
complex nanosystems to-date, including multiple demonstrations of monolithic nitions (cover of NATURE, Research Highlight to the United States Congress
3-D ICs leveraging CNFETs and RRAM. by the National Science Foundation, highlight as “important, scientific break-
through” by the BBC, Economist, EE Times, IEEE Spectrum, MIT Technology
Review, National Public Radio, New York Times, Scientific American, Time,
Wall Street Journal, Washington Post, and numerous others worldwide). His
H.-S. Philip Wong (F’01) is the Willard R. and Inez
earlier work on X-Compact test compression has been key to cost-effective
Kerr Bell Professor in the School of Engineering.
manufacturing and high-quality testing of almost all electronic systems. X-
He joined the Department of Electrical Engineering,
Compact and its derivatives have been implemented in widely-used commercial
Stanford University, Stanford, CA, USA, as a Profes-
Electronic Design Automation tools.
sor, in September 2004. From 1988 to 2004, he was Prof. Mitra’s honors include the ACM SIGDA/IEEE CEDA A. Richard
with the IBM T.J. Watson Research Center.
Newton Technical Impact Award in Electronic Design Automation (a test of
At IBM, he held various positions from Research
time honor), the Semiconductor Research Corporation’s Technical Excellence
Staff Member to Senior Manager. While he was a
Award, the Intel Achievement Award (Intel’s highest corporate honor), and the
Senior Manager, he had the responsibility of shaping Presidential Early Career Award for Scientists and Engineers from the White
and executing IBM’s strategy on nanoscale science
House (the highest United States honor for early-career outstanding scientists
and technology as well as exploratory silicon devices
and engineers). He and his students published several award-winning papers at
and semiconductor technology. During his time at IBM, he managed pathfind-
major venues: ACM/IEEE Design Automation Conference, IEEE International
ing research on high-k/metal gate, strained silicon, alternative channel materials Solid-State Circuits Conference, IEEE International Test Conference, IEEE
such as Ge and III-V, multigate FinFET, ultrathin SOI—many of these have
TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND
now become product technology at various companies. His research aims at
SYSTEMS, IEEE VLSI Test Symposium, and the Symposium on VLSI Tech-
translating discoveries in science into practical technologies. His works have
nology. At Stanford, he has been honored several times by graduating seniors
contributed to advancements in nanoscale science and technology, semiconduc- “for being important to them during their time at Stanford.” He served on the
tor technology, solid-state devices, and electronic imaging. His present research
Defense Advanced Research Projects Agency’s (DARPA) Information Science
covers a broad range of topics including carbon electronics, 2-D layered mate-
and Technology Board as an invited member. He is a Fellow of the Association
rials, wireless implantable biosensors, directed self-assembly, device modeling,
for Computing Machinery (ACM).
brain-inspired computing, nonvolatile memory, and monolithic 3-D integration.
He was the Editor-in-Chief of the IEEE TRANSACTIONS ON NANOTECHNOL-
OGY (2005–2006), sub-committee Chair of the ISSCC (2003–2004), General
Chair of the IEDM (2007), and is currently the Chair of the IEEE Executive
Committee of the Symposia of VLSI Technology and Circuits. He is the Faculty
Director of the Stanford Non-Volatile Memory Technology Research Initiative
(NMTRI), and is the founding Faculty Co-Director of the Stanford SystemX
Alliance—An industrial affiliate program focused on building systems.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:57:35 UTC from IEEE Xplore. Restrictions apply.

You might also like