You are on page 1of 6

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 66, NO.

12, DECEMBER 2019 5375

Carbon Nanotube-Based CMOS SRAM:


1 kbit 6T SRAM Arrays and 10T SRAM Cells
Pritpal S. Kanhaiya , Christian Lau, Gage Hills, Mindy D. Bishop, and Max M. Shulaker

Abstract — We experimentally demonstrate the first the area of a FET), demonstrating the potential to realize
static random-access memory (SRAM) arrays based on a sub-3-nm node technology [6]. Furthermore, the CNFETs
carbon nanotube (CNT) field-effect transistors (CNFETs). are a rapidly maturing nanotechnology, as complete digital
We demonstrate 1 kbit (1024) 6 transistor (6T) SRAM arrays
fabricated with complementary metal-oxide-semiconductor systems [7]–[13], and complex analog and mixed-signal
(CMOS) CNFETs (totaling 6144 p- and n-type CNFETs), with circuits [14], [15] have been experimentally demonstrated.
all 1024 cells functioning correctly without any per-unit Despite these increasingly complex CNFET demonstra-
customization. Moreover, we show the first demonstration tions, a critical component of digital systems—static random
of CNFET CMOS 10T SRAM cells, capable of operating at access memory (SRAM) memory arrays—had never been
highly scaled voltages down to 300 mV. We characterize
the CNFET CMOS SRAM and demonstrate robust opera- demonstrated. Prior work either has realized only individ-
tion by writing and reading multiple patterns (to both the ual, isolated CNT-based SRAM cells [16] or has relied on
kbit arrays as well as the 10T SRAM cells), measuring processing that is not compatible with silicon CMOS (e.g.,
SRAM variations in read, write, and hold margins and relying on air-reactive, ionic, non-solid-state CNT doping
repeat cycling of cells. Moreover, due to the low-temperature processes [17]–[24]). Here, we highlight the first demonstra-
back-end-of-line (BEOL)-compatible CNT-specific process-
ing, CNFET SRAM enables new opportunities for digital tion of the kbit 6T CNFET CMOS SRAM arrays. We demon-
systems, since: 1) CNFET SRAM can be fabricated directly strate all SRAM cells within the array functioning correctly
on top of computing logic to realize three-dimensional without relying on any customization, calibration, or correc-
integrated circuits; and 2) CNFET circuits can utilize metal tion of any sort. This is the largest reported CNFET CMOS cir-
routing both above and below the CNFET device layer (e.g., cuit demonstrated to-date, containing 6144 CMOS CNFETs.
as in our demonstration which utilizes buried power rails,
whereby the power rails are fabricated underneath the FETs To further demonstrate the maturity of CNFET CMOS, we
while metal routing is fabricated above the FETs), providing also demonstrate the first 10T CNFET CMOS SRAM cells,
opportunities for further SRAM density scaling. which can operate at highly-scaled supply voltages down to
Index Terms — Carbon nanotubes (CNTs), carbon 300 mV. Importantly, all design and processing is 1) wafer-
nanotube field-effect transistors (CNFETs), digital logic, scale (performed on 150-mm substrates), 2) VLSI-compatible
static random-access memory (SRAM). (no per-unit customization), and 3) silicon-CMOS-compatible
(leveraging only solid-state and silicon-compatible materials
I. I NTRODUCTION and conventional fabrication process steps).
This work is an extension of [25]. In addition to summa-
C ARBON nanotube (CNT) field-effect transistors
(CNFETs) are a promising emerging nanotechnology
for next-generation energy-efficient digital very-large-scale-
rizing those results, we include additional 6T SRAM charac-
terization, as well as the first experimental demonstrations of
CNFET CMOS 10T SRAM cells.
integration (VLSI) circuits. Owing to their simultaneously
ideal electrostatic control (due to the ultrathin ∼1-nm
diameter of a CNT) and high carrier transport [1], [2], it is II. CNFET CMOS SRAM FABRICATION
projected that the CNFETs can improve the energy-delay
The CNFET CMOS fabrication process flow is illustrated
product (EDP, a metric of energy efficiency) by an order
in Fig. 1. The starting substrate is a 150-mm silicon wafer
of magnitude versus silicon complementary metal-oxide-
with ∼1 µm thermal SiO2 . Prior to any CNFET fabrication,
semiconductor (CMOS) [3]–[5]. In addition to these EDP
we fabricate a bottom metal layer of metal as buried power
benefits, CNFET digital logic has been fabricated at a record
rails. An inter-layer dielectric (ILD) is deposited followed
scaled 30 nm contacted gate-pitch (CGP, a key metric defining
by defining metal vias down to the buried power rails. The
Manuscript received August 26, 2019; accepted September 26, next metal layer is defined, which acts as both metal routing
2019. Date of publication November 13, 2019; date of current ver- (for the wordlines) as well as the bottom metal gates for the
sion November 27, 2019. This work was supported in part by Analog
Devices, Inc., DARPA 3DSoC and in part by the Air Force Research CNFETs. To fabricate the CNFETs, a high-k gate dielectric
Laboratory. The review of this article was arranged by Editor K. Uchida. (HfO2 ) is deposited through atomic layer deposition (ALD) at
(Corresponding author: Pritpal S. Kanhaiya.) 200 ◦ C, followed by CNT deposition. We deposit the CNTs
The authors are with the Massachusetts Institute of Technology,
Cambridge, MA 02139 USA (e-mail: pkanhaiy@mit.edu). uniformly across the 150 mm substrate through a solution-
Digital Object Identifier 10.1109/TED.2019.2945533 based deposition, whereby the wafer is submerged in a solvent

0018-9383 © 2019 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:53:13 UTC from IEEE Xplore. Restrictions apply.
5376 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 66, NO. 12, DECEMBER 2019

The next metal layer (Pt) is defined, which acts as both the
CNFET source and drain contacts for the p-type CNFETs
and additional metal routing (bit/bit_b lines). The finished p-
type CNFETs are protected and passivated with 100 nm SiO2 .
To fabricate the n-type CNFETs, we deposit the next metal
layer (Ti) as the n-type CNFET source and drain contacts,
followed by ALD deposition of HfO2 (which electrostatically
dopes the CNTs to achieve the correct threshold voltage,
see [28] for details). The HfO2 electrostatic doping film is
etched off of the p-type CNFETs (which are protected by
the SiO2 passivation), leaving our final CNFET CMOS. The
full process contains three metal layers and uses only silicon-
CMOS compatible materials. Key aspects of this fabrication
process are:
1) The buried metal layers beneath the CNFET device layer
can be fabricated using conventional back-end-of-line
(BEOL) metallization processes.
2) Such buried metal layers are very challenging to real-
ize with conventional silicon CMOS due to high-
temperature processing required for fabricating silicon
CMOS (>1000 ◦ C for steps such as dopant activation
annealing [29]).
3) In contrast, the low-temperature (<400 ◦ C) CNFET
processing naturally enables such buried metal layers
and new three-dimensional circuit architectures. Specif-
ically, the room-temperature solution CNT deposition
decouples the high-temperature CNT synthesis (typically
>800 ◦ C) from the wafer fabrication, and the CNFET
CMOS doping process (leveraging both metal source
and drain work function engineering as well as high-
k electrostatic doping) never exceeds 250 ◦ C.
4) While our experimental demonstration of the CNFET
CMOS SRAM only leverages a single buried metal
layer (utilized as buried power rails), the same BEOL
metallization process can be repeated to realize multiple
buried metal layers (in addition to multiple conventional
BEOL metal layers above the device layer).
5) The entire fabrication process is wafer-scale, solid-state,
and BEOL compatible (<400 ◦ C). Thus, while we fabri-
Fig. 1. Process flow for the CNFET CMOS SRAM. (a) M1, the first metal cate our CNFET CMOS SRAM over silicon substrates,
layer, which consists of the VDD and VSS lines, is defined (5 nm Ti/45 nm the substrate could be silicon CMOS circuitry, additional
Pt) via physical vapor deposition (PVD). (b) Oxide spacer deposition layers of CNFET CMOS circuitry, etc.
followed by etch and metal fill for inter-layer via (ILV) definition. (c) M2,
the second metal layer, is defined (5 nm Ti/70 nm Pt) for the wordlines Fig. 2 shows the circuit schematic as well as a three-
and back gates of the CNFETs. (d) High-k gate dielectric deposition dimensional schematic of a single 6T CNFET CMOS SRAM
(30 nm HfO2 ) via ALD, and additional ILV definition. (e) CNTs solution- cell. Fig. 3 shows the scanning electron microscopy (SEM)
deposited at room temperature uniformly across the wafer followed
by oxygen plasma to etch away CNTs outside the active area (e.g., images of a fabricated 1 kbit CNFET CMOS 6T SRAM array
channel). (f) M3, the third metal layer, consists of bit, bit_b and PMOS as well as a single 6T SRAM. Figs. 2 and 3 highlight the
source/drain definition (2 nm Ti/98 nm Pt) as well as NMOS source/drain buried metal process whereby the power lines (VDD and VSS )
definition (100 nm Ti). (g) Passivation over the PMOS (100 nm SiO2 ).
(h) Electrostatic doping over the NMOS (20 nm HfO2 ). are routed directly beneath the CNFET CMOS SRAM array,
with the CNFETs and signal routing fabricated directly verti-
cally overlapping (on the same substrate without any die- or
wafer-bonding). Such three-dimensional circuit architectures
containing dispersed CNTs (the CNTs are pre-purified within can provide additional future opportunities for further SRAM
this solution to achieve >99.99% semiconducting CNTs, density scaling and reduced routing congestion. The SRAM
see [26], [27] for details). Following CNT deposition, the density in this demonstration is limited due to the fact that
active area (e.g., channel area) of the CNFETs is defined the CNFETs are fabricated at a relaxed ∼1-µm technology;
through traditional photolithography and all CNTs outside this is only due to the limitations of academic fabrication
of the active regions are etched away using oxygen plasma. facilities, as this same CNFET fabrication process has been

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:53:13 UTC from IEEE Xplore. Restrictions apply.
KANHAIYA et al.: CNT-BASED CMOS SRAM: 1-KBIT 6T SRAM ARRAYS AND 10T SRAM CELLS 5377

Fig. 2. CNFET-based CMOS SRAM. (a) Schematic of 6T SRAM cell. (b)


CNT. (c) SEM image of D1 CNFET (fabricated at a ∼1-μm technology
node due to lithographic limitations in an academic fabrication facility,
with a ∼2-μm physical channel length). (d) 3-D illustration of the CNFET-
based SRAM cell in an array, including the VDD and VSS lines in the first
metal (M1) layer, wordline (WL) in the second metal (M2) layer, bit and
bit_b lines, p-type and n-type CNFET source/drain contacts in the third
metal (M3) layer, and the SiO2 passivation and HfO2 electrostatic doping
Fig. 4. Packaged kbit CNFET CMOS 6T SRAM array. Conventional
films for the p-type and n-type CNFETs, respectively. (e) Cross section
wirebonding is used to access pads on the die.
showing all three metal layers and their vertical integration.

Fig. 3. SEM images of (a) fabricated 1 kbit CNFET CMOS 6T SRAM


array, (b) subsection of the SRAM array (2 × 4 SRAM cell segment),
and (d) false colored SRAM cell from the full array based on (c) metal Fig. 5. Array-level testing of the fabricated kbit CNFET CMOS 6T SRAM
layer sequence. Labeling of the false colored image is according to (e) 6T arrays (VDD = 1.8 V). Various patterns [e.g., (a)–(c) letters spelling
SRAM cell schematic. The peripheral control and read-out circuitry is off- out MIT, (d) checkerboard, and (e) inverted checkerboard] were written
chip; future work will focus on integrating the peripheral control circuitry to and subsequently read out from the array to demonstrate complete
and read-out circuity on-chip on either the same CNFET CMOS circuit functionality. Bit values of 1 and 0 are shown as yellow and blue pixels,
layer or on a layer of silicon CMOS or CNFET CMOS circuitry fabricated respectively. Supply voltage (VDD ) = 1.8 V.
beneath the CNFET CMOS SRAM array.

CMOS circuit demonstrated to-date, containing 6144 CMOS


experimentally demonstrated to potentially scale to aggressive CNFETs. A packaged die micrograph of a fabricated CNFET
technology nodes (CGP = 30 nm). CMOS 1 kbit SRAM array is shown in Fig. 4. To test the
functionality of the fabricated SRAM arrays, we first write
III. E XPERIMENTAL R ESULTS : the entire array and then read the entire array. All the bits are
CNFET CMOS 6T SRAM initially written, and then all the bits are read, highlighting
6T Array-level Testing (Figs. 4 and 5): To illustrate the the ability to nondestructively read from the SRAM array.
robust CNFET CMOS process, we fabricate the 1 kbit CNFET The measured results of various patterns (e.g., checkerboard,
CMOS 6T SRAM arrays; this is the largest reported CNFET inverted checkerboard, and the letters M, I, and T) are shown

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:53:13 UTC from IEEE Xplore. Restrictions apply.
5378 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 66, NO. 12, DECEMBER 2019

Fig. 7. False colored SEM image of the (a) fabricated 10T CNFET CMOS
SRAM cell and (b) 10T SRAM cell schematic.

shows typical p-type and n-type CNFET ID –VGS curves


highlighting the well-matched CMOS CNFETs with ION /IOFF
ratios of >1000. The measured distributions of the write,
read, and hold margins for a set of 6T SRAM cells are
shown in Fig. 6(g)–(i). These metrics are defined as followed
[Fig. 6 (c)–(e)].
Fig. 6. 6T Cell-level characterization. (a) False colored SEM image of
the CNFET CMOS 6T SRAM cells fabricated for cell characterization. 1) Write Margin [Fig. 6(e)]: Let the tripping point (VTP )
A sizing ratio of 2.25:1.5:1 (D1/D2:A1/A2:P1/P2) was used. (b) ID versus be where bit = Q_b = VTP with WL = VDD
VGS characteristics, in semilog and linear scale, of a typical PMOS [Fig. 6(e)] [29]. The write margin (WM), write margin
(purple) and NMOS (black) CNFET (channel length = 2 μm, channel
width = 40 μm, CNT density ∼20 CNTs/μm), with VDS = 1.8 V for high (WMH), and write margin low (WML) are defined
NMOS and VDS = 1.8 V for PMOS. (c) Read margin, (d) hold margin, and in (1)–(3) as
(e) write margin measurement from a typical CNFET CMOS 6T SRAM
cell. (f) 1000 overlaid write measurements. Statistical distributions of (g)
write margin (h) read margin, and (i) hold margin from 40 CNFET CMOS WML = VTP − VSS (1)
6T SRAM cells, as well as their respective mean and standard deviations WMH = VDD − VTP (2)
(write margin mean and standard deviation—μWRITE and σWRITE , read
margin mean and standard deviation—μREAD and σREAD , hold margin WM = min(VTP − VSS , VDD − VTP ). (3)
mean and standard deviation—μHOLD and σHOLD ).

2) Read Margin and Hold Margin [Fig. 6(c) and (d)]:


in Fig. 5. These patterns test every possible SRAM cell in The read and hold margins’ extractions are from the
the array at both values (both with the cell programed at relationship between Q_b and Q referred to as the
logical value “1” and at logical value “0”), illustrating correct voltage transfer curve (VTC): Q_b versus Q, with
functionality of every cell in the array simultaneously (we also bit = VDD , bit_b = VDD, and with WL = VDD (for
read the array multiple times to ensure the ability to perform read margin VTCs) and WL = VSS (for hold margin
(dr) (dr) (dr) (dr)
nondestructive repeated reads). VTCs) [30]–[31]. Let (VIL , VOH ) and (VIH , VOL ) be
(dr)
the points on the VTC where the slope of Q_b versus
6T Cell-level Characterization (Fig. 6): In addition to array-
(ld) (ld) (ld) (ld)
level testing to show functionality, we fabricate individual Q is −1, and let (VIL , VOH ) and (VIH , VOL ) be the
CNFET CMOS 6T SRAM cells to both characterize the vari- (ld)
points on the VTC (i.e., the mirrored VTC: Vin versus
ability and demonstrate the robustness of the cells. Fig. 6(a) VOUT) where the slope of Q_b versus Q is −1. For
shows an SEM of a single isolated 6T SRAM cells (labels their respective VTCs, the read/hold margin (RM/HM),
correspond with labels in Figs. 2 and 3), while Fig. 6(b) the read/hold margin high (RMH/HMH), and read/hold

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:53:13 UTC from IEEE Xplore. Restrictions apply.
KANHAIYA et al.: CNT-BASED CMOS SRAM: 1-KBIT 6T SRAM ARRAYS AND 10T SRAM CELLS 5379

Fig. 6(f) shows 1000 repeated write measurements of


the same 6T SRAM cell. The cells exhibit minimal drift
and hysteresis over continuous biasing and time (>12 h),
as the absolute maximum and minimum write margins differ
by <10 mV (performed with VDD = 1.8 V) across all
1000 repeated write cycles.

IV. E XPERIMENTAL R ESULTS :


CNFET CMOS 10T SRAM
Beyond the first 6T SRAM arrays, we experimentally
demonstrate the first 10T CNFET CMOS SRAM cells to
highlight the potential for CNFET CMOS circuits to operate
at scaled supply voltages [32]. Owing to the 10T SRAM cell
circuit architecture, we demonstrate their ability to operate
at highly scaled supply voltages down to 300 mV. This
is the most scaled operating voltage demonstrated for any
CNFET-based SRAM or CNFET-based sequential logic.
10T Cell-level Characterization: The circuit schematic and
SEM of a fabricated 10T SRAM cell is shown in Fig. 7. The
measured characteristics of the 10T SRAM cell are shown
in Fig. 8. Fig. 8(a) and (b) shows the typical write and read
margins for a 10T SRAM cell (measured at VDD = 1 V).
In Fig. 8(c) and (d), we show time-dependent waveforms of a
typical 10T cell. To demonstrate cell functionality, we exercise
every potential write and read combination: we first write a
“1” to the cell and read it multiple times (to demonstrate
nondestructive reads), followed by writing a “0” to the cell
and reading it multiple times. Fig. 8(c) shows the measured
waveform with VDD = 1 V, while Fig. 8(d) shows the
measured waveform for the same 10T SRAM cell with VDD =
300 mV (for comparison, the CNFET CMOS 6T SRAM cells
can operate with a minimal VDD of ∼1 V).

V. C ONCLUSION
This work realizes the first CNFET CMOS SRAM arrays
(1 kbit 6T SRAM) comprising 6144 CMOS CNFETs, as well
as the first 10T CNFET CMOS SRAM cells operating at
highly scaled supply voltages down to 300 mV. We also
demonstrate buried power rails for further SRAM density
scaling opportunities. Taken together, this work is a major step
Fig. 8. 10T cell-level characterization. (a) Write margin (∼0.35 V) and toward demonstrating the feasibility of a future CNT-based
(b) read margin (∼0.23 V) from a typical CNFET CMOS 10T SRAM technology, realizing a critical component of future energy-
cell (VDD = 1 V). Time-dependent waveforms at (c) 1 V VDD and (d) efficient CNT digital systems.
300 mV VDD . There is still positive read and write margins at VDD = 300
mV, a requirement for digital logic (∼0.13 and ∼0.02 V, respectively).
Below 300 mV, noise margin violations result in incorrect operation. Clock ACKNOWLEDGEMENT
frequency is limited by the off-chip data acquisition setup that records
the output waveform. The measurements in (c) and (d) show first writing This article is an extension of the VLSI 2019 conference
a “1” followed by four nondestructive reads, followed by writing a “0”
followed by four nondestructive reads. The noise in the waveform is
article: P. S. Kanhaiya et al., “1 kbit 6T SRAM Arrays in
from measuring the cell while the bit lines are floating, illustrating that Carbon Nanotube FET CMOS,” IEEE VLSI 2019.
the access transistors [CNFET “A1” and “A2” in Fig. 7(b)] provide ideal
electrical isolation of the cell from the bitline.
R EFERENCES
margin low (RML/HML) are defined in (4)–(6) as [1] G. J. Brady, A. J. Way, N. S. Safron, H. T. Evensen, P. Gopalan,
and M. S. Arnold1, “Quasi-ballistic carbon nanotube array transistors
(dr) (ld)
RMH or HMH = VOH − VIH (4) with current density exceeding Si and GaAs,” Sci. Adv., vol. 2, no. 9,
Sep. 2016, Art. no. e1601240, doi: 10.1126/sciadv.1601240.
(ld) (dr)
RML or HML = VIL− VOL (5) [2] A. Javey, J. Guo, Q. Wang, M. Lundstrom, and H. Dai, “Ballistic
(dr) (ld) (ld) (dr) carbon nanotube field-effect transistors,” Nature, vol. 424, no. 6949,
RM or HM = min(VOH − VIH ¸VIL − VOL ). (6) pp. 654–657, Aug. 2003, doi: 10.1038/nature01797.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:53:13 UTC from IEEE Xplore. Restrictions apply.
5380 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 66, NO. 12, DECEMBER 2019

[3] G. Hills et al., “Understanding energy efficiency benefits of [18] S. Y. Lee et al., “Scalable complementary logic gates with chemically
carbon nanotube field-effect transistors for digital VLSI,” IEEE doped semiconducting carbon nanotube transistors,” Acs Nano, vol. 5,
Trans. Nanotechnol., vol. 17, no. 6, pp. 1259–1269, Nov. 2018, no. 3, pp. 2369–2375, Mar. 2011, doi: 10.1021/nn200270e.
doi: 10.1109/TNANO.2018.2871841. [19] Z. Zhang et al., “Doping-free fabrication of carbon nanotube based
[4] M. M. S. Aly et al., “Energy-efficient abundant-data computing: The ballistic CMOS devices and circuits,” Nano Lett., vol. 7, no. 12,
N3XT 1,000x,” Computer, vol. 48, no. 12, pp. 24–33, Dec. 2015, pp. 3603–3607, Nov. 2007, doi: 10.1021/nl0717107.
doi: 10.1109/MC.2015.376. [20] D. Shahrjerdi, A. D. Franklin, S. Oida, J. A. Ott, G. S. Tulevski,
[5] L. Wei, D. J. Frank, L. Chang, and H.-S. P. Wong, “A non- and W. Haensch, “High-performance air-stable n-type carbon nan-
iterative compact model for carbon nanotube FETs incorporating otube transistors with erbium contacts,” ACS Nano, vol. 7, no. 9,
source exhaustion effects,” in IEDM Tech. Dig., Dec. 2009, pp. 1–4, pp. 8303–8308, Sep. 2013, doi: 10.1021/nn403935v.
doi: 10.1109/IEDM.2009.5424281. [21] L. Ding et al., “Y-contacted high-performance n-type single-walled
[6] T. Srimani, G. Hills, M. D. Bishop, and M. M. Shulaker, “30-nm carbon nanotube field-effect transistors: Scaling and comparison with Sc-
Contacted Gate Pitch Back-Gate Carbon Nanotube FETs for Sub-3- contacted devices,” Nano Lett., vol. 9, no. 12, pp. 4209–4214, Nov. 2009,
nm Nodes,” IEEE Trans. Nanotechnol., vol. 18, pp. 132–138, 2019, doi: 10.1021/nl9024243.
doi: 10.1109/TNANO.2018.2888640. [22] J.-L. Xu et al., “Efficient and reversible electron doping of
[7] M. M. Shulaker et al., “Carbon nanotube computer,” Nature, vol. 501, semiconductor-enriched single-walled carbon nanotubes by using
no. 7468, pp. 526–530, Sep. 2013, doi: 10.1038/nature12502. decamethylcobaltocene,” Sci. Rep., vol. 7, no. 1, Jul. 2017, Art. no. 6751,
[8] M. M. Shulaker et al., “Monolithic 3D integration of logic doi: 10.1038/s41598-017-05967-w.
and memory: Carbon nanotube FETs, resistive RAM, and sili- [23] M.-L. Geier, K.-K. Moudgil, S. Barlow, S.-R. Marder, and
con FETs,” in IEDM Tech. Dig., Dec. 2014, pp. 27.4.1–27.4.4, M.-C. Hersam, “Controlled n-type doping of carbon nanotube transistors
doi: 10.1109/IEDM.2014.7047120. by an organorhodium dimer,” Nano Lett., vol. 16, no. 7, pp. 4329–4334,
[9] M. M. Shulaker et al., “Carbon nanotube circuit integration up to sub-20 Jun. 2013, doi: 10.1021/acs.nanolett.6b01393.
nm channel lengths,” ACS Nano, vol. 8, no. 4, pp. 3434–3443, Mar. 2014, [24] M.-G. Zhu, Z. Zhang, and L.-M. Peng, “High-performance and
doi: 10.1021/nn406301r. radiation-hard carbon nanotube complementary static random-
[10] M. M. Shulaker et al., “Sensor-to-digital interface built entirely with access memory,” Adv. Electron. Mater., vol. 5, no. 7, Jul. 2019,
carbon nanotube FETs,” IEEE J. Solid-State Circuits, vol. 49, no. 1, Art. no. 1900313, doi: 10.1002/aelm.201900313.
pp. 190–201, Jan. 2014, doi: 10.1109/JSSC.2013.2282092. [25] P. S. Kanhaiya, C. Lau, G. Hills, M. Bishop, and M. M. Shulaker, “1 Kbit
[11] H. Wei, M. Shulaker, H.-S. P. Wong, and S. Mitra, “Monolithic 6T SRAM arrays in carbon nanotube FET CMOS,” in Proc. Symp. VLSI
three-dimensional integration of carbon nanotube FET complemen- Technol., Jun. 2019, pp. T54–T55, doi: 10.23919/VLSIT.2019.8776563.
tary logic circuits,” in IEDM Tech. Dig., Dec. 2013, pp. 19–7, [26] H. Wang and Z. Bao, “Conjugated polymer sorting of semiconducting
doi: 10.1109/IEDM.2013.6724663. carbon nanotubes and their electronic applications,” Nano Today, vol. 10,
[12] L. Ding et al., “CMOS-based carbon nanotube pass-transistor logic no. 6, pp. 737–758, Dec. 2015, doi: 10.1016/j.nantod.2015.11.008.
integrated circuits,” Nature Commun., vol. 3, Feb. 2012, Art. no. 677. [27] J. W. T. Seo et al., “Diameter refinement of semiconducting arc discharge
[13] M. Shulaker et al., “Experimental demonstration of a fully digi- single-walled carbon nanotubes via density gradient ultracentrifugation,”
tal capacitive sensor interface built entirely using carbon-nanotube J. Phys. Chem. Lett., vol. 4, no. 17, pp. 2805–2810, Aug. 2013, doi:
FETs,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2013, pp. 112–113, 10.1021/jz4013596.
doi: 10.1109/ISSCC.2013.6487660. [28] C. Lau, T. Srimani, M. D. Bishop, G. Hills, and M . M. Shu-
[14] A. G. Amer, R. Ho, G. Hills, A. P. Chandrakasan, and M. M. Shulakerm, laker, “Tunable n-type doping of carbon nanotubes through engineered
“SHARC: Self-healing analog with RRAM and CNFETs,” in atomic layer deposition HfOx films,” ACS Nano., vol. 12, no. 11,
IEEE ISSCC Dig. Tech. Papers, Mar. 2019, pp. 470–472, pp. 10924–10931, Oct. 2018, doi: 10.1021/acsnano.8b04208.
doi: 10.1109/ISSCC.2019.8662377. [29] P. Batude et al., “Advances, challenges and opportunities in 3D CMOS
[15] R. Ho, C. Lau, G. Hills, and M. Shulaker, “Carbon nanotube CMOS sequential integration,” in IEDM Tech. Dig., Dec. 2011, pp. 151–154,
analog circuitry,” IEEE Trans. Nanotechnol., vol. 18, pp. 845–848, 2019, doi: 10.1109/IEDM.2011.6131506.
doi: 10.1109/TNANO.2019.2902739. [30] D. K. Pradhan, J. Singh, and S. P. Mohanty, Robust SRAM Designs and
[16] M. L. Geier et al., “Solution-processed carbon nanotube thin-film Analysis. New York, NY, USA: Springer, 2012, pp. 33–38.
complementary static random access memory,” Nature Nanotechnol., [31] N. Rahman, G. Dhiman, and B. P. Singh, “Static-noise-margin analysis
vol. 10, no. 11, pp. 944–948, Sep. 2015, doi: 10.1038/nnano.2015. of modified 6t SRAM cell during read operation,” Int. J. Recent Trends
197. Eng. Technol., vol. 8, no. 2, p. 47, Jan. 2013.
[17] H. Wang et al., “Tuning the threshold voltage of carbon nanotube transis- [32] B. H. Calhoun and A. Chandrakasan, “A 256-kb 65-nm
tors by n-type molecular doping for robust and flexible complementary sub-threshold SRAM design for ultra-low-voltage operation,”
circuits,” Proc. Nat. Acad. Sci. USA, vol. 111, no. 13, pp. 4776–4781, IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 680–688, Mar. 2007,
Apr. 2014, doi: 10.1073/pnas.1320045111. doi: 10.1109/JSSC.2006.891726.

Authorized licensed use limited to: MANIPAL INSTITUTE OF TECHNOLOGY. Downloaded on December 15,2021 at 16:53:13 UTC from IEEE Xplore. Restrictions apply.

You might also like