You are on page 1of 2

EDA for Cyber-Physical Systems

Samarjit Chakraborty

Chair of Real-Time Computer Systems


Technical University of Munich, Germany
Email: samarjit@tum.de
Web: www.rcs.ei.tum.de

Abstract—Over the years, electronic design automation (EDA) application domains are today often referred to as ”cyber-
has been moving up the design abstraction ladder. Starting from physical systems” (CPS). They are characterized by the need
automating tasks like placement, floorplanning and routing in for an integrated modeling (and design) of the dynamics of
integrated circuits design, EDA now encompasses many system-
level design tasks. However, the next challenge facing the EDA the physical systems (or the physical world with which the
community is to develop methods and also tools for cyber-physical systems should interact) and the computational platforms on
systems (CPS) design. For these systems, physical processes, con- which the control algorithms are supposed to run.
trol algorithms that control these processes, and the computation
and communication platforms on which these control algorithms However, the current situation is that a vast majority of
are implemented – are all modeled and designed in a tightly control algorithms at the high-level models layer are designed
integrated fashion. Currently available EDA methods and tools with idealistic assumptions, such as sensor values being instan-
are not equipped to handle such integrated modeling and design. taneously available to the controller, computing the control law
In particular, there is a big disconnect between modeling tools – takes zero (negligible) time, unbounded numerical precision,
like Matlab/Simulink – that are used for modeling plant dynamics
and designing their controllers, and the tools that are used to and that the underlying computational platform is perfect (free
design and configure the hardware/software platforms on which of any errors). As implementation platforms become more
these controllers are eventually implemented. In this extended complex and distributed, these assumptions are increasingly
abstract we discuss the consequences of this disconnect and not true. As a result, a provably optimal controller at the model
possible ways of addressing this situation. level might not perform as desired in a concrete implementa-
tion. This results in significant ex post facto integration, testing
I. EDA FOR CPS: W HY AND H OW ? and debugging efforts, as probably was the case prior to the
mid-1700s when driving a screw into a receiving thread. For
Until the start of the industrial revolution in the mid-1700s
complex embedded control systems, it is well known that often
screws were carved from nails with the threading laboriously
more then 50% of the design effort is spent in integration,
filed by hand. As a result of being independently produced,
which has additional implications like problems with certifi-
both the screw and the receiving thread had to be considerably
cation (especially for safety-critical systems), overprovisioning
adjusted when the screw was used. Also, the cost of producing
of resources, and inflexible designs.
screws in this manner was so high that they were sold
individually. Hence, one of the main challenges today is to address this
While the situation has dramatically improved since then incompatibility between multiple layers of design abstraction.
when it comes to manufacturing screws, for designing complex This results in questions like: Is it possible to develop a
hardware/software systems, the same old principles are still cross-layer design framework that integrates these levels of
being used today. Such systems comprise multiple layers abstractions, such that design decisions at one layer are fully
at the top layer are high-level models (usually control al- compatible with those taken at a different layer? There are
gorithms), followed by software code generated from these several more concrete incarnations of this question: Is real
models, below which is an operating system, which runs on end-to-end certification starting from control algorithms to
a hardware platform often consisting of multiple processors semiconductor reliability possible? Given the plant models
connected by a communication architecture on which tasks and control performance objectives, is it possible to synthesize
and messages are scheduled. Most often, each of these layers the control algorithms along with all the underlying layers
are designed independently by different groups with com- (software code, task and message mappings, scheduling de-
pletely different sets of expertise control theorists, compiler cisions, and hardware protection mechanisms)? If the com-
designers, software engineers, operating system designers, putational platform and/or the plant change over time, can a
embedded systems designers, computer architects, circuit de- new implementation and/or controller be synthesized on the
signers and semiconductor experts. These multiple layers of fly (which will enable plug-and-play architectures and help
abstraction with well-defined interfaces allow these groups to designing autonomous systems)?
work independently, partition the entire design problem into To address these questions, there is a need for developing
manageable areas of expertise and have led to the phenomenal appropriate electronic design automation (EDA) methods and
advancement in general purpose computing. tools that bridge the gap between the tasks of (a) modeling
But when it comes to more specialized application domains and design of physical systems and their controllers, and
such as embedded controllers arising as hardware/software (b) the design of hardware/software platforms that implement
systems in automobiles, industrial automation systems, robots these controllers while faithfully preserving their model-level
and a huge variety of other domains these independently semantics. Currently available tools are targeted for either (a)
designed layers pose a serious problem. Systems in these or (b) and there is no seamless integration between these
two classes of methods and tools. We have been working on [10] A. Masrur, S. Drössler, T. Pfeuffer, and S. Chakraborty, “VM-based
various aspects of this problem. real-time services for automotive control applications,” in 16th IEEE
International Conference on Embedded and Real-Time Computing Sys-
In particular, we have developed techniques for taking tems and Applications (RTCSA), 2010.
model-level information into account to better analyze (e.g., [11] M. Broy, S. Chakraborty, D. Goswami, S. Ramesh, M. Satpathy,
the timing properties) of software code that is automatically S. Resmerita, and W. Pree, “Cross-layer analysis, testing and verification
of automotive control software,” in 11th International Conference on
synthesized from such models [1], [2], [3]. Such code (or Embedded Software (EMSOFT), 2011.
implementation level) information can be used to annotate [12] S. Chakraborty, M. A. A. Faruque, W. Chang, D. Goswami, M. Wolf, and
the models and better analyze their behavior compared to if Q. Zhu, “Automotive cyber-physical systems: A tutorial introduction,”
IEEE Design & Test, vol. 33, no. 4, pp. 92–108, 2016.
implementation-level information is unavailable. In the oppo- [13] P. Kumar, D. Goswami, S. Chakraborty, A. Annaswamy, K. Lampka, and
site direction, we have also studied ways of better incorpo- L. Thiele, “A hybrid approach to cyber-physical systems verification,”
rating information on plant and controller dynamics to derive in Design Automation Conference (DAC), 2012.
[14] S. Steinhorst, M. Kauer, A. Meeuw, S. Narayanaswamy,
tighter timing constraints to be be satisfied by an implementa- M. Lukasiewycz, and S. Chakraborty, “Cyber-physical co-simulation
tion [4], for example when carrying out schedulability analy- framework for smart cells in scalable battery packs,” ACM Trans.
sis. Suitable architecture design methods and implementation- Design Autom. Electr. Syst., vol. 21, no. 4, pp. 62:1–62:26, 2016.
[15] D. Roy, L. Zhang, W. Chang, D. Goswami, and S. Chakraborty, “Multi-
level scheduling and resource management algorithms for CPS objective co-optimization of flexray-based distributed control systems,”
have been studied in [5], [6], [7], [8]. The work in [9] have in IEEE Real-Time and Embedded Technology and Applications Sym-
looked into developing methods for building virtual prototypes posium (RTAS), 2016.
[16] W. Chang, D. Goswami, S. Chakraborty, L. Ju, C. J. Xue, and S. An-
for CPS and resource virtualization techniques [10] to ensure dalam, “Memory-aware embedded control systems design,” IEEE Trans.
that applications with mixed criticality levels can be mapped on CAD of Integrated Circuits and Systems, vol. 36, no. 4, pp. 586–599,
onto the same execution platform. Cross-layer approaches for 2017.
[17] W. Chang and S. Chakraborty, “Resource-aware automotive control
simulation, analysis and verification of control systems and systems design: A cyber-physical systems approach,” Foundations and
software have been studied in [11], [12], [13], [14]. In contrast Trends in Electronic Design Automation, vol. 10, no. 4, pp. 249–369,
to approaches where the design of control algorithms and 2016.
[18] D. Roy, L. Zhang, W. Chang, and S. Chakraborty, “Automated synthesis
implementation platforms are mutually divorced, the cross- of cyber-physical systems from joint controller/architecture specifica-
layer approaches attempt to take into account a joint design tions,” in Forum on Specification and Design Languages (FDL), 2016.
and analysis. These have been extended to joint synthesis [19] W. Chang, D. Roy, L. Zhang, and S. Chakraborty, “Model-based design
of resource-efficient automotive control software,” in 35th International
and optimization of control strategies and their implementa- Conference on Computer-Aided Design (ICCAD), 2016.
tions in [15]. Finally, model-level controller design strategies [20] M. Balszun, D. Roy, L. Zhang, W. Chang, and S. Chakraborty, “Effec-
that take into account various platform-level resources like tively utilizing elastic resources in networked control systems,” in 23rd
IEEE International Conference on Embedded and Real-Time Computing
memory, computation, communication, and energy have been Systems and Applications (RTCSA), 2017.
studied in [16], [17], [18], [19], [20]. In spite of this large
volume of work done by us and by other groups, there are
still many open issues in the design of CPS, which makes it
an interesting area to work on, both for the control systems
and also for the embedded systems and the EDA communities.

R EFERENCES
[1] R. Metta, M. Becker, P. Bokil, S. Chakraborty, and R. Venkatesh, “TIC:
a scalable model checking based approach to WCET estimation,” in 17th
ACM SIGPLAN/SIGBED Conference on Languages, Compilers, Tools,
and Theory for Embedded Systems (LCTES), 2016.
[2] L. Ju, B. K. Huynh, S. Chakraborty, and A. Roychoudhury, “Context-
sensitive timing analysis of Esterel programs,” in 46th Design Automa-
tion Conference (DAC), 2009.
[3] L. Ju, B. K. Huynh, A. Roychoudhury, and S. Chakraborty, “Perfor-
mance debugging of Esterel specifications,” Real-Time Systems, vol. 48,
no. 5, pp. 570–600, 2012.
[4] D. Goswami, R. Schneider, and S. Chakraborty, “Relaxing signal delay
constraints in distributed embedded controllers,” IEEE Trans. Contr. Sys.
Techn., vol. 22, no. 6, pp. 2337–2345, 2014.
[5] M. Lukasiewycz, R. Schneider, D. Goswami, and S. Chakraborty, “Mod-
ular scheduling of distributed heterogeneous time-triggered automotive
systems,” in 17th Asia and South Pacific Design Automation Conference
(ASP-DAC), 2012.
[6] M. Glaß, M. Lukasiewycz, J. Teich, U. D. Bordoloi, and S. Chakraborty,
“Designing heterogeneous ECU networks via compact architecture
encoding and hybrid timing analysis,” in 46th Design Automation
Conference (DAC), 2009.
[7] H. Voit, R. Schneider, D. Goswami, A. Annaswamy, and S. Chakraborty,
“Optimizing hierarchical schedules for improved control performance,”
in 5th IEEE International Symposium on Industrial Embedded Systems
(SIES), 2010.
[8] R. Schneider, D. Goswami, A. Masrur, M. Becker, and S. Chakraborty,
“Multi-layered scheduling of mixed-criticality cyber-physical systems,”
Journal of Systems Architecture - Embedded Systems Design, vol. 59,
no. 10-D, pp. 1215–1230, 2013.
[9] J. Oetjens et al., “Safety evaluation of automotive electronics using
virtual prototypes: State of the art and research challenges,” in 51st
Design Automation Conference (DAC), 2014.

You might also like