Professional Documents
Culture Documents
Algoritmo para Los Sumadores
Algoritmo para Los Sumadores
library ieee;
use ieee.std_logic_1164.all;
entity sumador is
ci : in std_logic;
co : out std_logic;
end sumador;
begin
process(A,B,c,ci)
for i in 0 to 3 loop
c(i+1)<= ((A(i) and B(i)) or (A(i) and c(i))) or (B(i) and c(i));
end loop;
end process;
co<=c(4);
end sum;
Algoritmo para realizer la multiplicacion
library ieee;
use ieee.std_logic_1164.all;
entity multiplicar is
end multiplicar;
component sumador
ci: in std_logic;
end component;
begin
ci<='0';
--primer sumador
--segundo sumador
--resultado de la multiplicacion
end mult;