Professional Documents
Culture Documents
Communication:
Ø Communication has many different meanings.
Ø At one extreme a narrow sense is limited to the media of information; only, at the other, a
very wide meaning embraces any means whereby a message passes from one person to
another, including face-to-face and even non-verbal communication.
Ø The scope of the term was to include anything which might be considered part of national
communications, as a resource of the community.
Engineering:
Ø Engineering is the discipline, art, skill and profession of acquiring and applying scientific,
mathematical, economic, social, and practical knowledge.
Ø In order to design and build structures, machines, devices, systems, materials and processes
that safely realize improvements to the lives of people.
Device:
Ø Any machine or component that attaches to a computer.
Ø Examples of devices include disk drives, printers, mice, and modems.
Ø These particular devices fall into the category of peripheral devices because they are
separate from the main computer.
Ø Most devices, whether peripheral or not, require a program called a device driver that acts
as a translator, converting general commands from an application into specific commands
that the device understands…
Electron:
Ø The electrons of different types of atoms have different degrees of freedom to move
around.
Ø With some types of materials, such as metals, the outermost electrons in the atoms are so
loosely bound that they chaotically move in the space between the atoms of that material by
nothing more than the influence of room-temperature heat energy.
Ø Because these virtually unbound electrons are free to leave their respective atoms and float
around in the space between adjacent atoms, they are often called free electrons.
Conductors, Insulators:
Ø This relative mobility of electrons within a material is known as electric conductivity.
Ø Conductivity is determined by the types of atoms in a material (the number of protons in
each atom's nucleus, determining its chemical identity) and how the atoms are linked
together with one another.
Ø Materials with high electron mobility (many free electrons) are called conductors.
Ø While materials with low electron mobility (few or no free electrons) are called insulators.
Ø Examples of Conductors:
ü Silver,Copper,gold,aluminum,iron,steel,brass,bronze,mercury,graphite,di
rty water, concrete
Ø Examples of Inductors:
ü Glass,rubber,oil,asphalt,fiberglass,porcelain,ceramic,quartz,(dry)
cotton,(dry) paper,(dry) wood, plastic, air, diamond, pure water.
Theorems:
v Ohm's Law
Ø When an applied voltage E causes a current I to flow through an impedance Z, the value of
the impedance Z is equal to the voltage E divided by the current I.
Impedance = Voltage / Current Z=E/I
Ø Similarly, when a voltage E is applied across an impedance Z, the resulting current I
through the impedance is equal to the voltage E divided by the impedance Z.
Current = Voltage / Impedance I=E/Z
Ø Similarly, when a current I is passed through an impedance Z, the resulting voltage drop V
across the impedance is equal to the current I multiplied by the impedance Z.
Voltage = Current * Impedance V = IZ
Ø Alternatively, using admittance Y which is the reciprocal of impedance Z:
Voltage = Current / Admittance V=I/Y
v Kirchhoff's Laws
Ø Kirchhoff's Current Law
At any instant the sum of all the currents flowing into any circuit node is equal to the sum
of all the currents flowing out of that node: SIin = SIout
Similarly, at any instant the algebraic sum of all the currents at any circuit node is zero: SI = 0
Ø Kirchhoff's Voltage Law
At any instant the sum of all the voltage sources in any closed circuit is equal to the sum of
all the voltage drops in that circuit: SE = SIZ
Similarly, at any instant the algebraic sum of all the voltages around any closed circuit is
zero: SE - SIZ = 0
Kirchhoff's voltage law states: "The algebraic sum of the voltage drops in any closed path
in a circuit and the electromotive forces in that path is equal to zero."
To state Kirchhoff's law another way, the voltage drops and voltage sources in a
circuit are equal at any given moment in time. If the voltage sources are assumed to have
one sign (positive or negative) at that instant and the voltage drops are assumed to have the
opposite sign, the result of adding the voltage sources and voltage drops will be zero.
NOTE: The terms electromotive force and emf are used when explaining
Kirchhoff's law because Kirchhoff's law is used in alternating current circuits (covered in
Module 2). In applying Kirchhoff's law to direct current circuits, the terms electromotive
force and emf apply to voltage sources such as batteries or power supplies.
Through the use of Kirchhoff's law, circuit problems can be solved which would
be difficult, and often impossible, with knowledge of Ohm's law alone. When Kirchhoff's
law is properly applied, an equation can be set up for a closed loop and the unknown circuit
values can be calculated.
v Thevenin's Theorem
Ø Any linear voltage network which may be viewed from two terminals can be replaced by a
voltage-source equivalent circuit comprising a single voltage source E and a single series
impedance Z.
Ø The voltage E is the open-circuit voltage between the two terminals and the impedance Z is
the impedance of the network viewed from the terminals with all voltage sources replaced
by their internal impedances.
Ø Thevenin's Theorem says you can simplify any linear circuit, regardless of complexity, to
an equivalent circuit with a single voltage source and series resistance connected to a load.
Ø As in the Superposition Theorem, it must be linear.
Ø In other words, passive components such as resistors, inductors and capacitors are okay.
Ø Non-linear components such as semiconductors do not fall under this theorem.
v Norton's Theorem
Ø Any linear current network which may be viewed from two terminals can be replaced by a
current-source equivalent circuit comprising a single current source I and a single shunt
admittance Y.
Ø The current I is the short-circuit current between the two terminals and the admittance Y is
the admittance of the network viewed from the terminals with all current sources replaced
by their internal admittances.
Ø Norton's Theorem states that it is possible to simplify any linear circuit, no matter how
complex, to an equivalent circuit with just a single current source and parallel resistance
connected to a load.
Ø Just as with Thevenin's Theorem, the qualification of “linear” is identical to that found in
the Superposition Theorem: all underlying equations must be linear (no exponents or
roots).
v Superposition Theorem
Ø In a linear network with multiple voltage sources, the current in any branch is the sum of
the currents which would flow in that branch due to each voltage source acting alone with
all other voltage sources replaced by their internal impedances.
v Reciprocity Theorem
Ø If a voltage source E acting in one branch of a network causes a current I to flow in another
branch of the network, then the same voltage source E acting in the second branch would
cause an identical current I to flow in the first branch.
v Compensation Theorem
Ø If the impedance Z of a branch in a network in which a current I flows is changed by a
finite amount dZ, then the change in the currents in all other branches of the network may
be calculated by inserting a voltage source of -IdZ into that branch with all other voltage
sources replaced by their internal impedances.
v Joule's Law
Ø When a current I is passed through a resistance R, the resulting power P dissipated in the
resistance is equal to the square of the current I multiplied by the resistance R:
P = I2 R
By substitution using Ohm's Law for the corresponding voltage drop V (= IR) across the
resistance:
P = V2 / R = VI = I2R
v Lenz's Law
Ø The Russian physicist Heinrich Lenz discovered in 1833 the directional relationships
among the forces, voltages, and currents of electromagnetic induction.
Ø Lenz's law says: An induced electromotive force generates a current that induces a counter
magnetic field that opposes the magnetic field generating the current.
Ø Thus, when an external magnetic field approaches a conductor, the current that is produced
in the conductor
ductor will induce a magnetic field in opposition to the approaching external
magnetic field.
Ø But when the external magnetic field moves away from the conductor, the induced
magnetic field in the conductor reverses direction and opposes the change in the direction
of the external magnetic field.
v Gain
Ø In electronics, gain is a measure of the ability of a circuit (often an amplifier)
amplifier to increase
the power or amplitude of a signal from the input to the output.
Ø It is usually defined as the mean ratio of the signal output of a system to the signal input of
the same system. It may also be defined on a logarithmic scale, in terms of the decimal
logarithm of the same ratio ("
("dB gain").
Ø A gain greater than one (zero dB), that is, amplification, is the defining property of an
active component or circuit, while a passive circuit will have a gain of less than one.
v Bandwidth (signal processing)
Ø Types of amplifier
ü Power amplifier
Power amplifiers by application
Power amplifier circuits
ü Vacuum-tube (valve) amplifiers
ü Transistor amplifiers
ü Operational amplifiers (op-amps)
ü Fully differential amplifiers
ü Video amplifiers
Oscilloscope vertical amplifiers
Distributed amplifiers
Switched mode amplifiers
Negative resistance devices
ü Microwave amplifiers
Travelling wave tube amplifiers
Klystrons
ü Musical instrument (audio) amplifiers
Ø Classification of amplifier stages and systems
ü Input and output variables
ü Common terminal
ü Unilateral or bilateral
ü Inverting or non-inverting
ü Function
ü Inter stage coupling method
ü Frequency range
ü Type of load
Ø Implementation
Ø Power amplifier classes
ü Angle of flow or conduction angle
ü Class A
Advantages of class
class-A amplifiers
Disadvantage of class
class-A amplifiers
Single-ended
ended and triode class
class-A amplifiers
ü Class B and AB
Class B
Class AB
ü Class C
ü Class D
ü Additional classes
Class E
Class F
Classes G and H
Doherty amplifiers
Special classes
ü Amplifier circuit
ü Notes on implementation
v Electronic oscillator
v Electronic filter
Ø Crystal filter with a center frequency of 45 MHz and a bandwidth B3dB of 12 KHz.
Ø Electronic filters are electronic circuits which perform signal processing functions,
specifically to remove unwanted frequency components from the signal, to enhance wanted
ones, or both.
Ø Electronic filters can be:
ü passive or active
ü analog or digital
ü high-pass, low-passpass, bandpass, band-reject (band reject; notch), or all-pass.
ü discrete-time (sampled) or continuous-time
ü linear or non-linear
linear
ü infinite impulse response (IIR type) or finite impulse response (FIR type)
v Encoder
Ø An encoder is a device, circuit, transducer, software program, algorithm or person that
converts information from one format or code to another, r, for the purposes of
standardization, speed, secrecy, security, or saving space by shrinking size.
v Decoder
Ø A decoder is a device which does the reverse operation of an encoder, encoder undoing the
encoding so that the original information can be retrieved.
Ø The same method used to encode is usually just reversed in order to decode.
Ø It is a combinational circuit that converts binary information from n input lines to a
maximum of 2n unique output lines.
v Multiplexer
Ø In electronics, a multiplexer (or mux) is a device that selects one of several analog or
digital input signals and forwards the selected input into a single line.
Ø A multiplexer of 2n inputs has n select lines, which are used to select which input line to
send to the output.
Ø Multiplexers are mainly used to increase the amount of data that can be sent over the
network within a certain amount of time and bandwidth.
Ø A multiplexer is also called a data selector.
v Demultiplexer
Ø A demultiplexer (or demux) is a device taking a single input signal and selecting one of
many data-output-lines, which is connected to the single input.
Ø A multiplexer is often used with a complementary demultiplexer on the receiving end.
v Thermocouple
v Thermistor
v Transistors Types
v The two main types of transistors are the bipolar junction transistor (BJT) and the field-
effect transistor (FET).
v Bipolar Junction Transistors
Ø BJTs can have two different polarities, NPN and PNP.
Ø An NPN BJT is one where a positively-doped (P-type) semiconductor is
sandwiched between two negatively-doped (N-type) semiconductors.
Ø A PNP BJT is, obviously, one where an N-type semiconductor is sandwiched
between two P-types.
Ø Both types of BJTs have an exponential dependence between the input voltage and
the current output.
Ø For the record, I should state that a semiconductor is basically a material with
conductance between that of an insulator and a conductor.
Ø Silicon and germanium are the two most well-known semiconductors.
Ø Also, doping just means the addition of impurities into a semiconducting material in
order for it to either: increase its electron acceptance (P-type) or increase its
electron conductance (N-type).
Ø Some specific types of BJTs:
HBT - heterojunction bipolar transistor –
Ø These types of transistors are very similar to BJTs except that the two P-type
semiconductors in the PNP polarity, or the two N-type semiconductors in the NPN
polarity, are doped differently relative to each other.
Ø The reason for doing this, simply stated, is to make it more difficult for a transistor
to operate in the reverse direction from which is was intended.
Grown-junction transistor
Ø This was the first type of BJT and is self-explanatory.
Ø The PN or NP junctions, depending on whether it's of NPN or PNP polarity,
respectively, are grown onto a single, solid crystal of semiconductor material.
Ø Grown, in this case, means slowly attached, chemically. \
Alloy-junction transistor
Ø Similar to a grown-junction transistor except the semiconducting material onto
which the PN or NP junctions are grown is specifically germanium.
MAT
Ø Micro-alloy transistor - An improved, speedier version of the alloy-junction
transistor.
Ø The materials of the PN or NP junctions of a MAT are metal-semiconductor, as
opposed to semiconductor-semiconductor.
MADT
Ø Micro-alloy diffused transistor - An improved, speedier version of the MAT.
Ø The dopant material of a MADT is diffused (thinly spread) accross the entire
germanium crystal prior to PN or NP growth, as opposed to a MAT where the doping
material is only on the metallic side of the PN or NP junction.
PADT –
Ø Post-alloy diffused transistor - An improved, speedier version of the MADT.
Ø A thin, diffused dopant layer of germanium is grown onto the germanium crystal, as
opposed to the entire germanium crystal being diffused, which allows the germanium
crystal to be as thick as necessary for mechanical strength purposes.
Ø The PN or NP junctions are then grown onto this thin layer.
Schottky transistor –
Ø These are alloy-junction transistors with a Schottky barrier between the metal-
semiconductor junction.
Ø All metal-semiconductor junctions act sort of like capacitors with a voltage
between the junctions.
Ø Often, you'd like to minimize this voltage in order to minimize the saturation (the
amount of the germanium crystal) needed for the transistor to work.
Ø Minimizing the saturation effectively speeds up the transistor's performance, which
is great for things like switches.
Ø Schottky barriers use various materials to do exactly this.
Surface-barrier transistor –
Ø These are just like Schottky transistors except that both junctions are metal-
semiconductor as opposed to only one.
Drift-field transistor –
Ø The doping agent of these transistors is engineered to produce a specific electric
field.
Ø This effectually reduces the electrons' transit time between the junctions of the
transistor, thereby making it work faster.
Avalanche transistor –
Ø These transistors can operate in the breakdown voltage region of a transistor's
junctions.
Ø The breakdown voltage is simply the minimum voltage in which an insulator starts
acting like a conductor.
Ø Thus, these transistors allow for higher currents to be
applied to them than their normal counterparts.
Darlington transistor –
Ø These are simply two BJTs connected together to further increase the
gain of the current output.
IGBT –
Ø Insulated-gate bipolar transistor .
Ø These transistors combine the use of BJTs as switches with an isolated-gate FET
(see below) as the input.
Ø IGBTs provide much more efficient and faster switching than regular BJTs and are
thus some of the most common transistors found in modern appliances.
Photo transistor –
Ø These transistors convert electromagnetic radiation in the form of visible light, UV-
rays, or X-rays into current or voltage.
Ø As opposed to the normal PN junctions found in many transistors, photo transistors
use PIN junctions.
Ø PIN junctions are similar to PN junctions except that they have an additional
intrinsic semiconductor between the P-type and N-type semiconducting regions.
Ø This intrinsic semiconductor is a very lightly doped semiconductor which exists, at
least for the purposes of photo transistors, to supply a region within the junction where a
photon (a particle of electromagnetic radiation with a specific energy) can ionize (knock an
electron out of via the photoelectric effect) an atom of this semiconducting material.
Ø Because of the electric field caused from the surrounding P-type and N-type
semiconducting regions, this ionization causes the photoelectron to move toward one end
of the junction, thereby producing what's known as a photocurrent, which is then amplified
in the same manner as all other BJTs.
Ø I promise that the rest of my answer won't get more complicated than this.
Field-Effect Transistors
Ø FETs use electric fields to control only one-type of charge carrier, as opposed to BJTs
which control both types.
Ø Now's as good a time as any to introduce the concept of electron holes.
Ø Intuitively, electrons carry negative charge and are thus referred to as negative charge
carriers.
Ø Well, the absence of an electron where one used to be is called an electron hole.
Ø These holes act exactly as electrons do in transistors except that they carry positive charge,
in the form of missing negative charge, and are thus called positive charge carriers.
Ø FETs are designed to control either positive or negative charge carriers, in the form of
holes or electrons, but not both.
Ø The flow of positive or negative charge carriers occurs through what's called the channel of
an FET.
Ø FET channels are created within the bulk material of the FET, which is usually silicon.
Ø If you find this idea more complicated than what I wrote about photo transistors, that's only
because you haven't looked up the physics behind the photoelectric effect yet.
Battery: A direct current electricity source of a specific voltage, used primarily in small
circuits.
Flux: In the study of transport phenomena (heat transfer, mass transfer and fluid dynamics),
flux is defined as flow per unit area, where flow is the movement of some quantity per time.
Flux, in this definition, is a vector.
v Rectifier
v Diode
v Thyristor
Ø An SCR rated about 100 amperes, 1200 volts mounted on a heat sink - the two small wires
are the gate trigger leads
Ø A thyristor is a solid-state
state semiconductor device with four layers of alternating N and P-
type material.
Ø They act as bistable switches, conducting when their gate receives a current trigger, and
continue to conduct while they are forward biased (that is, while the voltage across the
device is not reversed).
Ø Some sources define silicon controlled rectifiers and thyristors as synonymous.[
Ø Other sources define thyristors as a larger set of devices with at lleasteast four layers of
alternating N and P-type
type material, including:
ü Distributed Buffer - Gate Turn-off Thyristor (DB-GTO)
ü Gate turn-off
off thyristor (GTO)
ü Integrated gate commutated thyristor (IGCT)
ü MOS composite static induction thyristor/CSMT
ü MOS Controlled Thyristor (MCT)
ü Reverse conducting thyristor
ü Silicon controlled rectifier (SCR)
ü Static induction thyristor (SITh)
ü Triode AC switch (TRIAC)
Ø Types of Thyristor
ü AGT — Anode Gate Thyristor — A thyristor with gate on n-type type layer near to the
anode
ü ASCR — Asymmetrical SCR
ü BCT — Bidirectional Control Thyristor — A bidirectional switching device
containing two thyristor structures with separate gate contacts
ü BOD — Breakover Diode — A gateless thyristor triggered by avalanche current
DIAC — Bidirectional trigger device
Dynistor — Unidirectional switching device
Shockley diode — Unidirectional trigger and switching device
SIDAC — Bidirectional switching device
Trisil,, SIDACtor — Bidirectional protection devices
ü GTO — Gate Turn Turn-Off thyristor
ü IGCT — Integrated Gate Commutated Thyristor
DB-GTO — Distributed Buffer Gate Turn-Off thyristor
MA-GTO — Modified Anode Gate Turn-Off thyristor
ü LASCR — Light Activated SCR, or LTT — Light triggered thyristor
ü LASS — Light Activated Semiconducting Swit Switch
ü MCT — MOSFET Controlled Thyristor — It contains two additional FET
structures for on/off control.
ü BRT — Base Resistance Controlled Thyristor
ü RCT — Reverse Conducting Thyristor
ü PUT or PUJT — Programmable Unijunction Transistor — A thyristor with gate on
n-type
type layer near to the anode used as a functional replacement fo
for unijunction transistor
ü SCS — Silicon Controlled Switch or Thyristor Tetrode — A thyristor with both
cathode and anode gates
ü SCR — Silicon Controlled Rectifier
ü SITh — Static Induction Thyristor, or FCTh — Field Controlled Thyristor —
containing a gate structure that can shut down anode current flow.
ü TRIAC — Triode for Alternating Current — A bidirectional switching device
containing two thyristor structures with common gate contact
contact.
v TRIAC
v DIAC
Three-layer DIAC
Ø The DIAC, or 'diode for alternating current', is a diode that conducts current only after its
breakover voltage has been reached momentarily.
Ø When this occurs, diode enters the region of negative dynamic resistance,
resistance leading to a
decrease in the voltage drop across the diode and, usually, a sharp increase in current
through the diode.
Ø The diode remains "in conduction" until the current through it drops below a value
characteristic for the device, ccalled
alled the holding current. Below this value, the diode
switches back to its high--resistance (non-conducting) state.
Ø This behavior is bidirectional, meaning typically the same for both directions of current.
Ø Most DIACs have a three
three-layer structure with breakover
akover voltage around 30 V.
Ø In this way, their behavior is somewhat similar to (but much more precisely controlled and
taking place at lower voltages than) a neon lamp.
Ø DIACs have no gate electrode
electrode, unlike some other thyristors that they are commonly used to
trigger, such as TRIACs.
Ø Some TRIACs, like Quadrac
Quadrac, contain a built-in
in DIAC in series with the TRIAC's "gate"
terminal for this purpose.
Ø DIACs are also called symmetrical trigger diodes due to the symmetry of their
characteristic curve.
Ø Because DIACs are bidirectional devices, their terminals are not labeled as anode and
cathode but as A1 and A2 or MT1 ("Main Terminal") and MT2.
v SIDAC
SIDAC
v PLD
Ø A programmable logic device or PLD is an electronic component used to build
reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD has
an undefined function at the time of manufacture.
Ø Before the PLD can be used in a circuit it must be programmed, that is, reconfigured.
v PLA
Ø In 1970, Texas Instruments developed a mask-programmable IC based on the IBM read-
only associative memory or ROAM.
Ø This device, the TMS2000, was programmed by altering the metal layer during the
production of the IC.
Ø The TMS2000 had up to 17 inputs and 18 outputs with 8 JK flip flop for memory.
Ø TI coined the term Programmable Logic Array for this device.
Ø A programmable logic array (PLA) has a programmable AND gate array, which links to a
programmable OR gate array, which can then be conditionally complemented to produce
an output.
v PAL
Ø MMI introduced a breakthrough device in 1978, the Programmable Array Logic or PAL.
Ø The architecture was simpler than that of Signetics FPLA because it omitted the
programmable OR array.
Ø This made the parts faster, smaller and cheaper.
Ø They were available in 20 pin 300 mil DIP packages while the FPLAs came in 28 pin 600
mil packages.
Ø The PAL Handbook demystified the design process.
Ø The PALASM design software (PAL Assembler) converted the engineers' Boolean
equations into the fuse pattern required to program the part.
Ø The PAL devices were soon second-sourced by National Semiconductor, Texas
Instruments and AMD.
Ø After MMI succeeded with the 20-pin PAL parts, AMD introduced the 24-pin 22V10 PAL
with additional features.
Ø After buying out MMI (1987), AMD spun off a consolidated operation as Vantis, and that
business was acquired by Lattice Semiconductor in 1999.
v GALs
v CPLDs
Ø PALs and GALs are available only in small sizes, equivalent to a few hundred logic gates.
Ø For bigger logic circuits, complex PLDs or CPLDs can be used.
Ø These contain the equivalent of several PALs linked by programmable interconnections,
all in one integrated circuit.
Ø CPLDs can replace thousands, or even hundreds of thousands, of logic gates.
Ø Some CPLDs are programmed using a PAL programmer, but this method becomes
inconvenient for devices with hundreds of pins.
Ø A second method of programming is to solder the device to its printed circuit board, then
feed it with a serial data stream from a personal computer.
Ø The CPLD contains a circuit that decodes the data stream and configures the CPLD to
perform its specified logic function.
v FPGAs
Ø While PALs were busy developing into GALs and CPLDs (all discussed above), a separate
stream of development was happening.
Ø This type of device is based on gate array technology and is called the field-programmable
gate array (FPGA).
Ø Early examples of FPGAs are the 82s100 array, and 82S105 sequencer, by Signetics,
introduced in the late 1970s.
Ø The 82S100 was an array of AND terms. The 82S105 also had flip flop functions.
Ø FPGAs use a grid of logic gates, and once stored, the data doesn't change, similar to that of
an ordinary gate array.
Ø The term "field-programmable" means the device is programmed by the customer, not the
manufacturer.
Ø FPGAs are usually programmed after being soldered down to the circuit board, in a manner
similar to that of larger CPLDs. In most larger FPGAs the configuration is volatile, and
must be re-loaded into the device whenever power is applied or different functionality is
required.
Ø Configuration is typically stored in a configuration PROM or EEPROM. EEPROM
versions may be in-system programmable (typically via JTAG).
Ø The difference between FPGAs and CPLDs is that FPGAs are internally based on Look-up
tables (LUTs) whereas CPLDs form the logic functions with sea-of-gates (e.g. sum of
products).
Ø CPLDs are meant for simpler designs while FPGAs are meant for more complex designs.
Ø In general, CPLDs are a good choice for wide combinational logic applications, whereas
FPGAs are more suitable for large state machines (i.e. microprocessors).
v Other variants
Ø At present, much interest exists in reconfigurable systems.
Ø These are microprocessor circuits that contain some fixed functions and other functions
that can be altered by code running on the processor.
Ø Designing self-altering systems requires engineers to learn new methods, and that new
software tools be developed.
Ø PLDs are being sold now that contain a microprocessor with a fixed function (the so-called
core) surrounded by programmable logic.
Ø These devices let designers concentrate on adding new features to designs without having
to worry about making the microprocessor work.
v Logic gates
Ø A logic gate is an idealized or physical device implementing a Boolean function,
function that is, it
performs a logical operation on one or more logic inputs and produces a single logic
output.
Ø Depending on the context, the term may refer to an ideal logic gate, gate one that has for
instance zero rise time and unlimited fan-out, or it may refer to a non-ideal
ideal physical device.
Ø Logic gates are primarily implemented using diodes or transistors acting as electronic
switches,, but can also be constructed using electromagnetic relays (relayrelay logic),
logic fluidic
logic, pneumatic logic, optics
optics, molecules, or even mechanical elements.
Ø With amplification, logic gates can be cascaded in the same way that Boolean functions can
be composed, allowing the construction of a physical model of all of Boolean logic, logic and
therefore,
herefore, all of the algorithms and mathematics that can be described with Boolean logic.
v De Morgan's laws
Ø In formal logic, De Morgan's laws are rules relating the logical operators "AND" and
"OR"" in terms of each other via negation. With two operands A and B:
v Basic Laws
Associativity
commutativity
absorption
distributivity
complements
Ø Fan-out
Ø In digital electronics, the fan-out of a logic gate output is the number of gate inputs to
which it is connected.
Ø In most designs, logic gates are connected together to form more complex circuits.
Ø While no more than one logic gate output is connected to any single input, it is common
for one output to be connected to several inputs.
Ø The technology used to implement logic gates usually allows a certain number of gate
inputs to be wired directly together without additional interfacing circuitry.
Ø The maximum fan-out of an output measures its load-driving capability: it is the greatest
number of inputs of gates of the same type to which the output can be safely connected.
v Shift register
Ø In digital circuits, a shift register is a cascade of flip flops, sharing the same clock, which
has the output of any one but the last flip-flop connected to the "data" input of the next one
in the chain, resulting in a circuit that shifts by one position the one-dimensional "bit array"
stored in it.
Ø Shifting in the data present at its input and shifting out the last bit in the array, when
enabled to do so by a transition of the clock input.
Ø More generally, a shift register may be multi-dimensional, such that its "data in" input and
stage outputs are themselves bit arrays: this is implemented simply by running several shift
registers of the same bit-length in parallel.
v Counter
Ø In digital logic and computing, a counter is a device which stores (and sometimes displays)
the number of times a particular event or process has occurred, often in relationship to a
clock signal.
ü Asynchronous (ripple) counter – changing state bits are used as clocks to
subsequent state flip-flops
ü Synchronous counter – all state bits change under control of a single clock
ü Decade counter – counts through ten states per stage
ü Up/down counter – counts both up and down, under command of a control input
ü Ring counter – formed by a shift register with feedback connection in a ring
ü Johnson counter – a twisted ring counter
ü Cascaded counter.
v FLIP-FLOP
Ø In electronics, a flip-flop or latch is a circuit that has two stable states and can be used to
store state information.
Ø The circuit can be made to change state by signals applied to one or more control inputs
and will have one or two outputs.
Ø It is the basic storage element in sequential logic.
Ø Flip-flops and latches are a fundamental building block of digital electronics systems used
in computers, communications, and many other types of systems.
Ø Flip-flops and latches are used as data storage elements.
Ø Such data storage can be used for storage of state, and such a circuit is described as
sequential logic.
Ø When used in a finite-state machine, the output and next state depend not only on its
current input, but also on its current state (and hence, previous inputs).
Ø It can also be used for counting of pulses, and for synchronizing variably-timed input
signals to some reference timing signal.
Ø Flip-flops can be either simple (transparent or opaque) or clocked (synchronous or edge-
triggered); the simple ones are commonly called latches.
Ø The word latch is mainly used for storage elements, while clocked devices are described as
flip-flops.
TYPES of FLIP-FLOP
Ø Simple set-reset latches
ü 3.1.1 SR NOR latch
ü 3.1.2 SR NAND latch
ü 3.1.3 JK latch
Ø Gated latches and conditional transparency
ü Gated SR latch
ü Gated D latch
ü Earle latch
Ø D flip-flop
ü Classical positive-edge-triggered D flip-flop
ü Master–slave pulse-triggered D flip-flop
ü Edge-triggered dynamic D storage element
Ø T flip-flop
Ø JK flip-flop
v Phase-locked loop
Ø A phase-locked loop or phase lock loop (PLL) is a control system that generates an output
signal whose phase is related to the phase of an input "reference" signal.
Ø It is an electronic circuit consisting of a variable frequency oscillator and a phase detector.
Ø This circuit compares the phase of the input signal with the phase of the signal derived
from its output oscillator and adjusts the frequency of its oscillator to keep the phases
matched.
Ø The signal from the phase detector is used to control the oscillator in a feedback loop.
Ø Frequency is the derivative of phase.
Ø Keeping the input and output phase in lock step implies keeping the input and output
frequencies in lock step.
Ø Consequently, a phase-locked loop can track an input frequency, or it can generate a
frequency that is a multiple of the input frequency.
Ø The former property is used for demodulation, and the latter property is used for indirect
frequency synthesis.
Ø Phase-locked loops are widely employed in radio, telecommunications, computers and
other electronic applications.
Ø They can be used to recover a signal from a noisy communication channel, generate stable
frequencies at a multiple of an input frequency (frequency synthesis), or distribute clock
timing pulses in digital logic designs such as microprocessors.
Ø Since a single integrated circuit can provide a complete phase-locked-loop building block,
the technique is widely used in modern electronic devices, with output frequencies from a
fraction of a hertz up to many gigahertz.
v Antenna (radio)
•
Turnstile type transmitting antenna for
VHF low band television broadcasting
station, Germany.
Rooftop television antennas in Israel. Yagi-
Uda antennas like these six are widely used
at VHF and UHF frequencies.
•
Folded dipole antenna
•
"Rabbit ears" dipole antenna for
television reception
•
Large Yagi antenna used by amateur
radio hobbyist
•
Cell phone base station antennas
•
A mast radiator antenna for an AM radio
station, Chapel Hill, North Carolina
•
Parabolic antenna by Himalaya
Television Nepal
Ø An antenna (or aerial) is an electrical device which converts electric currents into radio
waves, and vice versa.
Ø It is usually used with a radio transmitter or radio receiver. In transmission, a radio
transmitter applies an oscillating radio frequency electric current to the antenna's
terminals, and the antenna radiates the energy from the current as electromagnetic waves
(radio waves).
Ø In reception, an antenna intercepts some of the power of an electromagnetic wave in
order to produce a tiny voltage at its terminals, that is applied to a receiver to be
amplified.
Ø An antenna can be used for both transmitting and receiving.
Ø Antennas are essential components of all equipment that uses radio.
Ø They are used in systems such as radio broadcasting, broadcast television, two-way radio,
communications receivers, radar, cell phones, and satellite communications, as well as
other devices such as garage door openers, wireless microphones, bluetooth enabled
devices, wireless computer networks, baby monitors, and RFID tags on merchandise.
Ø Typically an antenna consists of an arrangement of metallic conductors ("elements"),
electrically connected (often through a transmission line) to the receiver or transmitter.
Ø An oscillating current of electrons forced through the antenna by a transmitter will create
an oscillating magnetic field around the antenna elements, while the charge of the
electrons also creates an oscillating electric field along the elements.
Ø These time-varying fields radiate away from the antenna into space as a moving
electromagnetic field wave.
Ø Conversely, during reception, the oscillating electric and magnetic fields of an incoming
radio wave exert force on the electrons in the antenna elements, causing them to move
back and forth, creating oscillating currents in the antenna.
Ø Antennas may also contain reflective or directive elements or surfaces not connected to
the transmitter or receiver, such as parasitic elements, parabolic reflectors or horns, which
serve to direct the radio waves into a beam or other desired radiation pattern.
Ø Antennas can be designed to transmit or receive radio waves in all directions equally
(omnidirectional antennas), or transmit them in a beam in a particular direction, and
receive from that one direction only (directional or high gain antennas).
Ø The first antennas were built in 1888 by German physicist Heinrich Hertz in his
pioneering experiments to prove the existence of electromagnetic waves predicted by the
theory of James Clerk Maxwell.
Ø Hertz placed dipole antennas at the focal point of parabolic reflectors for both
transmitting and receiving. He published his work in Annalen der Physik und Chemie
(vol. 36, 1889).
Ø There are many variations of antennas. Below are a few basic models.
Ø More can be found in Category:Radio frequency antenna types.
ü The isotropic radiator is a purely theoretical antenna that radiates equally in all
directions.
It is considered to be a point in space with no dimensions and no mass.
This antenna cannot physically exist, but is useful as a theoretical model
for comparison with all other antennas.
Most antennas' gains are measured with reference to an isotropic radiator,
and are rated in dBi (decibels with respect to an isotropic radiator).
ü The dipole antenna is simply two wires pointed in opposite directions arranged
either horizontally or vertically, with one end of each wire connected to the
radio and the other end hanging free in space.
Since this is the simplest practical antenna, it is also used as a reference
model for other antennas; gain with respect to a dipole is labeled as dBd.
Generally, the dipole is considered to be omnidirectional in the plane
perpendicular to the axis of the antenna, but it has deep nulls in the
directions of the axis.
Variations of the dipole include the folded dipole, the half wave antenna, the
ground plane antenna, the whip, and the J-pole.
v VLSI
Ø Very-large-scale integration (VLSI) is the process of creating integrated circuits by
combining thousands of transistors into a single chip.
Ø VLSI began in the 1970s when complex semiconductor and communication technologies
were being developed. The microprocessor is a VLSI device.
Challenges
Ø As microprocessors become more complex due to technology scaling, microprocessor
designers have encountered several challenges which force them to think beyond the
design plane, and look ahead to post-silicon:
ü Power usage/Heat dissipation – As threshold voltages have ceased to scale with
advancing process technology, dynamic power dissipation has not scaled
proportionally. Maintaining logic complexity when scaling the design down only
means that the power dissipation per area will go up. This has given rise to
techniques such as dynamic voltage and frequency scaling (DVFS) to minimize
overall power.
ü Process variation – As photolithography techniques tend closer to the fundamental
laws of optics, achieving high accuracy in doping concentrations and etched wires
is becoming more difficult and prone to errors due to variation. Designers now
must simulate across multiple fabrication process corners before a chip is certified
ready for production.
ü Stricter design rules – Due to lithography and etch issues with scaling, design rules
for layout have become increasingly stringent. Designers must keep ever more of
these rules in mind while laying out custom circuits. The overhead for custom
design is now reaching a tipping point, with many design houses opting to switch
to electronic design automation (EDA) tools to automate their design process.
ü Timing/design closure – As clock frequencies tend to scale up, designers are
finding it more difficult to distribute and maintain low clock skew between these
high frequency clocks across the entire chip. This has led to a rising interest in
multicore and multiprocessor architectures, since an overall speedup can be
obtained by lowering the clock frequency and distributing processing.
ü First-pass success – As die sizes shrink (due to scaling), and wafer sizes go up (to
lower manufacturing costs), the number of dies per wafer increases, and the
complexity of making suitable photomasks goes up rapidly. A mask set for a
modern technology can cost several million dollars. This non-recurring expense
deters the old iterative philosophy involving several "spin-cycles" to find errors in
silicon, and encourages first-pass silicon success. Several design philosophies have
been developed to aid this new design flow, including design for manufacturing
(DFM), design for test (DFT), and Design for X.
Conferences
Ø ISSCC – IEEE International Solid-State Circuits Conference
Ø CICC – IEEE Custom Integrated Circuits Conference
Ø ISCAS – IEEE International Symposium on Circuits and Systems
Ø VLSI Circuits - IEEE Symposium on VLSI Circuits
Ø VLSI – IEEE International Conference on VLSI Design
Ø DAC – Design Automation Conference
Ø ICCAD – International Conference on Computer-Aided Design
Ø ISPD – International Symposium on Physical Design
Ø ISQED – International Symposium on Quality Electronic Design
Ø DATE – Design Automation and Test in Europe
Ø IEDM – IEEE International Electron Devices Meeting
Ø ASP-DAC – Asia and South Pacific Design Automation Conference
v Integrated Circuit
Ø An integrated circuit or monolithic integrated circuit (also referred to as IC, chip, or
microchip) is an electronic circuit manufactured by the patterned diffusion of trace
elements into the surface of a thin substrate of semiconductor material.
Ø Additional materials are deposited and patterned to form interconnections between
semiconductor devices.
Ø Integrated circuits are used in virtually all electronic equipment today and have
revolutionized the world of electronics.
Ø Computers, mobile phones, and other digital appliances are now inextricable parts of the
structure of modern societies, made possible by the low cost of production of integrated
circuits.
Ø Terminology
Ø Integrated circuit originally referred to a miniaturized electronic circuit consisting of
semiconductor devices, as well as passive components bonded to a substrate or circuit
board.
Ø This configuration is now commonly referred to as a hybrid integrated circuit.
Ø Integrated circuit has since come to refer to the single-piece circuit construction
originally known as a monolithic integrated circuit.
v IC Fabrication Process:
Ø An integrated circuit consist of a single crystal chip of silicon.
Ø Containing both active and passive elements, and their interconnection.
Ø The basic structure of an IC consist of four layers of materials, such that:
ü 1.Substrate
ü 2.Epitaxial growth
ü 3.Diffusion
ü 4.Metallization.
v Comparison chart
Analog Digital
Clocks: Analog clocks indicate time using Digital clocks use numeric
angles. representation to indicate time.
v Transducer
Ø A transducer is a device that converts one form of energy to another.
Ø Energy types include (but are not limited to) electrical, mechanical, electromagnetic
(including light), chemical, acoustic or thermal energy.
Ø While the term transducer commonly implies the use of a sensor/detector, any device
which converts energy can be considered a transducer.
Ø Transducers are widely used in measuring instruments.
Applications
Ø Electromagnetic:
ü Antenna – converts electromagnetic waves into electric current and vice versa
ü Cathode ray tube (CRT) – converts electrical signals into visual form
ü Fluorescent lamp, light bulb – converts electrical power into visible light
ü Magnetic cartridge – converts motion into electrical form
ü Photodetector or photoresistor or light dependent resistor (LDR) – converts
changes in light levels into resistance changes
ü Tape head – converts changing magnetic fields into electrical form
ü Hall effect sensor – converts a magnetic field level into electrical form only
Ø Electrochemical:
ü pH probes
ü Electro-galvanic fuel cell
ü Hydrogen sensor
Ø Electromechanical (electromechanical output devices are generically called actuators):
ü Electroactive polymers
ü Galvanometer
ü Microelectromechanical systems
ü Rotary motor, linear motor
ü Vibration powered generator
ü Potentiometer when used for measuring position
ü Load cell – converts force to mV/V electrical signal using strain gauge
ü Accelerometer
ü Strain gauge
ü String potentiometer
ü Air flow sensor
ü Tactile sensor
Ø Electroacoustic:
ü Loudspeaker, earphone – converts electrical signals into sound (amplified signal
→ magnetic field → motion → air pressure)
ü Microphone – converts sound into an electrical signal (air pressure → motion of
conductor/coil → magnetic field → signal)
ü Pickup (music technology) – converts motion of metal strings into an electrical
signal (magnetism → electricity (signal))
ü Tactile transducer – converts electrical signal into vibration ( signal → vibration)
ü Piezoelectric crystal – converts solid-state electrical modulations into an electrical
signal (vibration → electrical current → signal)
ü Geophone – converts a ground movement (displacement) into voltage (vibrations
→ motion of conductor/coil → magnetic field → signal)
ü Gramophone pickup – (air pressure → motion → magnetic field → signal)
ü Hydrophone – converts changes in water pressure into an electrical form
ü Sonar transponder (water pressure → motion of conductor/coil → magnetic field
→ signal)
Ø Photoelectric:
ü Laser diode, light-emitting diode – converts electrical power into forms of light
ü Photodiode, photoresistor, phototransistor, photomultiplier tube – converts
changing light levels into electrical form
Ø Electrostatic:
ü Electrometer
Ø Thermoelectric:
ü Resistance temperature detector (RTD)
ü Thermocouple
ü Peltier cooler
ü Thermistor (includes PTC resistor and NTC resistor)
Ø Radioacoustic:
ü Geiger–Müller tube – used for measuring radioactivity
ü Receiver (radio).