You are on page 1of 6

NPTEL Online Certification Courses

Indian Institute of Technology Kharagpur

Digital Circuits
Assignment 10- Week 10
TYPE OF QUESTION: MCQ
Number of questions: 15 Total mark: 15 X 1 = 15
______________________________________________________________________________

QUESTION 1:
Which of the following statements is false regarding the VHDL entity declaration code shown below?

ENTITY LOGIC_BLOCK IS PORT (


x: IN STD_LOGIC;
y: IN STD_LOGIC;
z: IN STD_LOGIC;
f: OUT STD_LOGIC);
END LOGIC_BLOCK;

a) LOGIC_BLOCK is a user-defined name of the digital circuit.


b) x, y and z are 1-bit input port names.
c) f is a multiple bit output port name.
d) None of the above

Correct Answer: c

Detailed Solution:

______________________________________________________________________________

QUESTION 2:
Identify the basic logic block described by the following VHDL code.
Ckt_ex: process (A, B, S)
begin if (S=‘1’) then
Z <= A;
Else
Z <= B;
end if;
end process;

a) 3 input OR gate
b) 2 to 1 Mux
c) 3 to 1 Mux
d) Half adder

Correct Answer: b
NPTEL Online Certification Courses
Indian Institute of Technology Kharagpur

Detailed Solution:

______________________________________________________________________________

QUESTION 3:
Which of the following keyword defines a one-dimensional array with elements of the bit datatype?
a) bit
b) bool
c) bit_vector
d) integer

Correct Answer: c

Detailed Solution:

_____________________________________________________________________________

QUESTION 4:
Which of the following statements is false regarding signals and variables in VHDL?
a) Signals must be declared inside a process.
b) Signals follow the notion of ‘event scheduling’
c) Variables get updated instantaneously without any delay.
d) None of the above.

Correct Answer: a

Detailed Solution:

______________________________________________________________________________
QUESTION 5:
Which keyword in VHDL is used to describe how input and output ports of a component instance are
connected while instantiating the component?
a) port_list
b) variable
c) process
d) port map

Correct Answer: d

Detailed Solution:
NPTEL Online Certification Courses
Indian Institute of Technology Kharagpur

____________________________________________________________________________

QUESTION 6:
Which block within a microprocessor performs the integer arithmetic and bit-wise logical operations?
a) Arithmetic Logic Unit
b) Register File
c) Control Unit
d) Memory Block

Correct Answer: a

Detailed Solution:

QUESTION 7:
What are the three fundamental steps for executing an instruction in a microprocessor?
a) Fetch, Read , Store
b) Read, Interpret, Decode
c) Fetch, Decode , Execute
d) Store, Decode, Execute

Correct Answer: c

Detailed Solution:

QUESTION 8:
What is the maximum memory that can be accessed in 8085?
a) 32Kb
b) 64Kb
c) 16Kb
d) 8Kb

Correct Answer: b

Detailed Solution:
NPTEL Online Certification Courses
Indian Institute of Technology Kharagpur

QUESTION 9:
8085 is a
a) 8-bit general purpose microprocessor
b) 16-bit general purpose microprocessor
c) 32-bit general purpose microprocessor
d) 64-bit general purpose microprocessor

Correct Answer: a

Detailed Solution:

QUESTION 10:
VHDL and Verilog are
a) Software description language
b) Assembly language
c) Hardware description language
d) None of these

Correct Answer: c

Detailed Solution:

QUESTION 11:
8085 microprocessor has how many pins

a) 80
b) 20
c) 40
d) 64

Correct Answer: c

Detailed Solution:

QUESTION 12:
Which of the following converts the assembly language into machine instructions?
a) Assembler
b) Compiler
c) Interpreter
d) Processor
NPTEL Online Certification Courses
Indian Institute of Technology Kharagpur

Correct Answer: a

Detailed Solution:

QUESTION 13:In an intel 8085A, which is the first machine cycle of an instruction?
In an intel 8085A, which is the first machine cycle of an instruction?
a) An op-code fetch cycle
b) A memory read cycle
c) A memory write cycle
d) An I/O read cycle
Correct Answer: a

Detailed Solution

QUESTION 14:
The clock speed of 8085 is
a) 1MHz
b) 1Khz
c) 3 KHz
d) 3 MHz
Correct Answer: d

Detailed Solution

QUESTION 15:

Which of the following is true?


a) VHDL is s sequential language.
b) VHDL is a concurrent language.
c) Sythesis converts higher level description to lower level description
d) Both b&c

Correct Answer: d
NPTEL Online Certification Courses
Indian Institute of Technology Kharagpur

Detailed Solution:

You might also like