You are on page 1of 16

Alfa BK Univerzitet

Računarske nauke - Matematika

Seminarski rad
Na temu:

Unutrašnja struktura i organizacija ALU (Algoritamsko logička


jedinica)

Profesor-mentor: Student:
Sadržaj

Uvod………………………………………………………………………………………………3.

1.Von Neumannova arhiktetura…………………………………………………………………..4.

2.Struktura Aritmetičko logičke jedinice…………………………………………………………5.

3.Aritmetička grupa sklopova…………………………………………………………………….7.

3.1.Operacija sabiranja…………………………………………………………………………..8.

3.2.Operacija oduzimanja……………………………………………………………………….8.

3.3.Operacija množenja…………………………………………………………………………9.

3.4.Operacija dijeljenja………………………………………………………………………….9.

4.Logička grupa sklopova……………………………………………………………………….10.

4.1.Logički sklop I……………………………………………………………………………..11.

4.2.Logički sklop ILI…………………………………………………………………………..12.

4.3.Logički sklop NE…………………………………………………………………………..13.

4.4.Logički sklop EX-ILI……………………………………………………………………...14.

Zaključak………………………………………………………………………………………..15.

Literatura..………………………………………………………………………………………16.

2
Uvod

Aritmetičko-logička jedinica ALU je jedan od osnovnih elemenata procesora. Osmislio je


John Van Neumann. Današnj procesori sadrže I po nekoliko vrlo snažnih I složenih aritmeticko
logičkih jedinica. Aritmetičko logička jedinica je vižsefunkcionalni digitalni sklop koji izvodi
koji izvodi aritmetičko logičke operacije nad podacima.

Slika broj 1. Simbol ALU

Na ulazu u ALU dovode se preko ulaznih registara podaci (na slici označeni A I B )
Upravljačka jedinica generira signale potrebne za izvođenje potrebnih operacija a rezultati
operacija (R) zavržavaju u akumulatoru. Većina ALU izvodisledeće operacije:

 Aritmetičke operacije(zbrajanje, oduzimanje) sa cijelim brojevima


 Logičke operacije: I , ILI , NE, EX-ILI
 Operacije posmaka(množenje I dijeljenje sa 2)

ALU ima dio koj izvodi aritmetičke operacije I dio koji izvodi logičke operacije, zato sastoji od
2 djela

 Aritmetičke grupe sklopova


 Logičke grupe sklopova

3
1.Von Neumannova arhiktertura

Von Neumannova arhitektura dobila je naziv po matematičaru John von Neumann koji je
bio konzultant prilikom izgradnje računara prve generacije ENIAC. Von Neumann je
dokumentirao organizaciju ENIAC-a I zbog tog se razloga svi računari koja imaju sličnu
organizaciju ili arhitekturu nazivaju računari sa Von Neumannovom arhitekturom. Odlike
vonNeumannove arhitekture su definisana sa tri svojstva:

 Programi I podaci koriste jedinstvenu glavnu memoriju


 Glavnoj se memoriji pristupa kao jednodimenzionalnom nizu
 Značenje ili način primjene podataka nije spremljeno sa podacima

Van Neumannovi računari imaju sledeće gradivne elemente:

 Aritmetičko logička jedinica


 Upravljačka jedinica
 Glavna memorija
 Ulazno/Izlazne jedinice

Slika broj 2. Van Neumanova arhitektura procesora

4
2.Struktura Aritmetičko logičke jedinice

Funkciju obrade podataka vrši Aritmetičko logička jedinica a upravljanje računarskim


procesima vrši upravljačka jedinica. Aritmetičko logička jedinica izvršava aritmetičke operacije
sabiranje, oduzimanje, množenje, dijeljenje, zatim logičke operacije: logičko zbrajanje, logičko
množenje. Elementi kojima se obavljaju računarske operacije su razni registry kao što su:
akumulator, registry s pokretnim I nepokretnim zarezom I drugi. Element koji obavlja funkciju
zbrajanja zove se binarni sabirač (ADDER). On vrši zbrajanje binarnih cifara prema pravilima
binarnog računanja. Sabiranje je osnovna računarska operacija koju ALU obavlja, pošto I kod
oduzimanja I mnošenja I dijeljenja ili bilo koje druge Aritmetičko logičke operacije ona ustvari
vrši zbrajanje. Pored sabirača u ALU je još jedan vrlo značajan registar, a to je uspoređivač.
Upoređivač vrši upoređivanje sadršaja opšteg registra I akumulatora. Tipišne aktivnosti
upoređivača su “veće”, “manje”, “jednako”.Aritmetičko logičke jedinice se mogu klasifikovati
na više načina, po načinu izvršavanju operacija ALU se dijeli na:

 Paralelne

 Serijske

 Paralelno/serijske.

U koju od ovih grupa će se svrstati ALU zavisi isključivo od tipa korištenog sabirača. Kod
paralelnih ALU operacija se izvršava nad cijelom riječi u jednom taktu. Kod serijskih ALU u
toku jednog takta vrši se operacija nad jednim mjestom operanta sadržanih u registru. Kod
paralelno/serijskih ALU nad grupom slovaoperacija se izvršava paralelno u toku jednog takta, pa
se u sledećem taktu prelazi na sledeću grupu slova (kod kalkulatora). Po načinu predstavljanja
brojeva nad kojima se vrši operacija ALU se mogu klasifikovati kao ALU sa:

 Sa fiksnim zarezom
 Sa pokretnim zarezom
 Decimalne.

5
ALU sa fiksnim zarezom se dalje mogu podijeliti na ALU za operacije s cjelobrojnim brojevima
I razlomljenim brojevima. Po strukturi ALU mogu biti s neposrednim vezama sa registrima,
ALU s direktnom strukturom I ALU s magistralnom strukturom.Kod ALU s direktnim vezama
postoje 3 osnovna registra: registar operanta, registar akumulator I opšti registar koji čuva jedan
od operanata u operacijama množenja I dijeljenja. Razvojemmikroprocesora sve se vise
razvijaju I koriste ALU s magistralnom strukturom. U sastavu ALU s magistralnomstrukturom
ulaze:

 Registri
 Komutatori
 Logička mreža za obavljanje operacija.

Kod ALU s direktnim vezama za izvršavanje jedne mikrooperacije potrebno je obično formiranje
samo jednog funkcionalnog signala. Kod ALU s magistralnom strukturom izvrašavanje
mikrooperacije zahtjeva vise funkcionalnih signala.

6
Slika broj 3. Struktura Aritmetičko logičke jedinice

3.Aritmetička grupa sklopova

Aritmetika aritmetičko-logičke jedinice obuhvata osnovne matematičke operacije kao što


su sabiranje, oduzimanje, množenje I dijeljenje. Aritmetičke operacije sa binarnim
brojevimaslijede ista pravila I postupke aritmetike u dekadskom brojevnom sustavu. Budući da
je za utvrđivanje predznaka rezultata potrebno uporediti predznake oba operanta, što predstavlja
dodatne operacije. Zato formati “prvog komplementa” I “drugog komplementa”predstavljaju
prikladne načine prikaza I izvođenja osnovnih operacija. Računarski podaci su predstavljeni
binarnim sastavom odnosno brojevnim sastavom čija baza se sastoji od 2 stanja koja se prikazuju
sa 2 logička stanja 0 I 1. Zavisno o karakteristikama hardverskog dijela ograničeni smo na
određeni broj stanja (bitova) kojim se zbog beskrajne količine brojeva mogu prikazati samo
određeni rasponi brojeva. Za prikaz pozitivnih I negativnih brojeva našlo se najednostavnije
rješenje tako da pozitivne brojeve označava najznačajniji bit (prvi bit sa lijeve strane) ako se
nalazi u stamju 0. U skladu sa tim se određuju I negativni brojevi I tako da se najznačajniji bit
nalazi u stamju 1.

7
3.1.Operacija sabiranja

Na određenu naredbu ALU će sabrati dva operanta odnosno podatka. Sabiranje se vrši u
binarnoj formi, pri tome se sabiranje može izvršiti sa ili bez prenosa iz prethodne operacije. U
slučaju da želimo sabrati vise operanata učinit ćemo to na taj način da zbiru dva operanta
saberemo uzostopce nove operante. Sabiranje u binarnom sastavu slično je sabiranju u
dekadnom, ali se prenos u lijevoj vrši kada broj dostigne 2.to npr. znači da je zbir 1+1 jednako
nula I prenos jedinice u lijevu stranu.

0+0 =0
0+1 =1
1+0 =1
1+1 =0

3.2.Operacija oduzimanja

Oduzimanje brojeva se može svesti na sabiranje metodom dvojnog komplementa. Da bi


to bilo moguće, umanitelj moramo pretvoriti u negativan broj. Negativni se brojevi u binarnom
sastavu pretvaraju pomoću dvojnog komplementa. Postupak dobijanja dvojnog komplementa:

 Umanjenik I umanitelj treba svesti na jednaki broj cifara na način da se umanitelju doda
sa lijeve strane potreban broj nula,
 Svaku 0 umanjenika treba pretvoriti u 1, a svaku 1 u 0 (tako dobijeni broj se zove
complement broja)
 Komplementu treba dodati 1 (tako dobijeni broj se zove dvojni complement).

8
3.3.Operacija množenja

0*0 =0
0*1 =0
1*0 =0
1*1 =1

Množenje u svim sistemima sastoji se od dobijanja parcijalnih produkata I njihovom


sabiranju uz ostatak. Parcijalni produkti se dobijaju množenjem multiplikatora sa pojedinim
bitom množioca počevši sa bitom na najmanje važnom binarnom mestu. Broj parcijalnih
produkata jednak je broju bitova množioca. Sabiranjem parcijalnih produkata uz uslov da je
svaki parcijalni produkt pomaknut za jedan bit u lijevo dolazi se do produkta.

3.4.Operacija dijeljenja

9
Slika broj 4. Primer Dijeljenja

Naročito se u efikasno binarnom brojevnom sistemu izvodi operacija dijeljenja. Najveći


problem pri dijeljenju decimalnih brojeva je određivanje koliko puta je delilac ide u neki od
djelova djelioca. U binarnom sistemu vrijedi ista logika računanja, ali kako svaka cifra količnika
može biti samo 0 ili 1, nije potrebno određivati koliko puta delioc ide u traženi dio.

4.Logička grupa sklopova

Logički dio aritmetičko-logičke jedinice, zadužen za izvršavanje logičkih


operacija.Sastoji se od osnovnih logičkih sklopova I,ILI EX-NE. Budući da se ostale logičke
operacije mogu postići kombinacijom ovih, logička grupa sklopova ne mora sadržavati I druge
sklopove. Današnji procesori osim aritmetičko logičke jedinice koja tradicionalno služi za
obradu cjelobrojnih podataka, sadržavaju I dio koji obrađuje I decimalne brojeve a ta se jedinica
obično naziva jedinica za rad sa pomičnim zarezom FPU. Uvođenjem FPU dolazi I do podjele
registara. Neki će služiti aritmetičko logičkoj jedinici , a neki jedinici za rad sa pomičnim
zarezom, tj. u njima će se nalaziti podaci potrebni određenoj jedinici. Na taj su način podaci
unaprijed razvrstani I pripremljeni čime se povećava brzina rada procesora.

10
4.1.Logički sklop I

Slika broj 5. Symbol I sklopa

A B f
0 0 0
0 1 0
1 0 0
1 1 1
Tablica tačnosti

Logički sklop I obavlja logičku operaciju I (povezivanje, konjukciju). Sklop može imati
dva ili vise ulaza. Na izlazu daje stanje 1 samo ako su svi ulazi u stanju 1. Ako je na bilo kojem
ulazu sklopa logičko stanje 0, tada je I na izlazu stanje 0.

11
4.2.Logički sklop ILI

Slika broj 6. Symbol ILI sklopa

A B f
0 0 0
0 1 1
1 0 1
1 1 1

Tablica tačnosti

Logički sklop ILI obavlja logičku operaciju ILI (rastavljanje disjunkcija). Sklop može
imati dva ili vise ulaza. Na izlazu daje stanje 1 ako je na bilo kojem ulazu stanje 1. Na izlazu je 0

12
samo onda kada su svi ulazi u stanju 0. Sklop ovakvih svojstava takodje je moguće izvesti spojen
otpornika I diode.

4.3.Logički sklop NE

Slika broj 7. Symbol NE sklopa

ULAZ IZLAZ
A Q
1 0
0 1
Tablica tačnosti

13
Logički sklop NE, odnosno invertor obavlja logičku operaciju NE (negacija, inverzija,
komplementiranje). Sklop ima jedan ulaz I jedan izlaz. Na izlazu daje stanje suprotno stanju
ulaza. Kad je na ulazu stanje 1 tad je na izlazu stanje 0, I obrnuto.

4.4.Logički sklop EX-ILI

Slika broj 8.simbol EX-ILI sklopa

A B f
0 0 0
0 1 1
1 0 1
1 1 0

14
EX-ILI je digitalni logički sklop sa dva ili vise ulaza I jednim izlazom. Na izlazu EX-ILI
sklopa je istina ukoliko je samo jedan od ulaza istina. Ako su oba ulaza laž ili ako su oba ulaza
istina izlaz je laž.

Zaključak

Na kraju ovog rada potrebno je istaknuti bitnost Von Neumannove arhikteture računara
I reći kako je ona jedan od temelja razvoja modernog računarstva, budući da se I danas računari
izrađuju prema tom modelu. Jedan od njenih osnovnih djelova jeste upravo I Aritmetičko logička
jedinica.sastavljena je od raznih digitalnih sklopova koji mogu obavljati različite operacije. U
izradi ovog rada obrađene su I objašnjenje osnovne aritmetičke I logičke operacije I prikazani su
njihovi logički simboli.

15
Literatura

1. Peruško, U., Digitalna elektronika, školska knjiga Zagreb, 1991.


2. Patterson, D.A., Hennesy, J. L, Computer organization and design, 2005.
3. hattp: //en.wikipedia.org/wiki/Arithmetic_logic_unit

16

You might also like