You are on page 1of 3

ISSCC 2013 / SESSION 6 / EMERGING MEDICAL AND SENSOR TECHNOLOGIES / 6.

6.8 Experimental Demonstration of a Fully Digital As a first demonstration of the sensor interface, we use an external capacitor as
Capacitive Sensor Interface Built Entirely the sensor. The capacitance value can be precisely controlled and swept over a
Using Carbon-Nanotube FETs known range. This external capacitor acts as the ΔCsensor of the circuit. The
capacitance ΔCfixed in the DCO is a fixed capacitance, which is equal to the max-
Max Shulaker1, Jelle Van Rethy2, Gage Hills1, Hong-Yu Chen1, imal value of ΔCsensor. This ΔCfixed can be switched in and out at the DCO. The
Georges Gielen2, H.-S. Philip Wong1, Subhasish Mitra1 9-stage SCO and DCO are both characterized in Fig. 6.8.4. The characteristics of
the frequency as a function of varying capacitive load, controlled through
1
Stanford University, Stanford, CA, ΔCsensor, show that both oscillators are running at approximately 1kHz for
2
KU Leuven, Heverlee, Belgium ΔCsensor=0 and are closely matched. This is necessary to ensure that the PLL
will be able to lock. Both oscillators show monotonically decreasing frequency
Low-power applications, such as sensing, are becoming increasingly important that is directly proportional to the value of ΔCsensor, with a nonlinearity RMS
and demanding in terms of minimizing energy consumption, driving the search error of 1.5% for the SCO and 1.9% for the DCO (calculated as the RMS of the
for new and innovative interface architectures and technologies [1]. Carbon differences between the measured output and a linear fit of frequency vs.
Nanotube FETs (CNFETs) are excellent candidates for further energy reduction. ΔCsensor). Figure 6.8.5 shows the operation of the D-latch with ideal input sig-
CNFET-based digital circuits are projected to potentially achieve an order of mag- nals, confirming that the D-latch functions correctly with good swing. The out-
nitude improvement in energy-delay product at highly scaled technology nodes put of the D-latch is used to connect or disconnect the ΔCfixed from the DCO by
[2, 3]. turning on or off the PMOS transistor that connects ΔCfixed to the DCO. We ver-
ified the reproducibility of our results through measurements of multiple
This paper presents a complete sensor interface implemented entirely using instances of circuit components (SCOs, DCOs and D-latches).
CNFETs that can be fabricated reproducibly in a VLSI-compatible fashion. This
is made possible by using the imperfection-immune paradigm [4], which suc- The sensor measurement results are shown in Fig. 6.8.6. The D-latch single-bit
cessfully overcomes major obstacles for CNFET-based circuits: mis-positioned output waveform is plotted as a function of ΔCsensor for 4 discrete values. Since
and metallic carbon nanotubes (CNTs). 44 CNFETs, each consisting of 10 to 200 it is an oversampled output, the average value of the single-bit output equals the
CNTs depending on transistor sizing, are used to build the circuit. In contrast, digitized value (as depicted in the plots with the horizontal lines). Simulated
earlier demonstrations of CNFET-based circuits included only small stand-alone SPICE results show similar trends with the measured output (Fig. 6.8.6), with a
components such as an adder sum, latch, percolation transport-based decoder, 5.5% RMS error between the expected and measured duty cycles. The conver-
and ring oscillator on a single CNT [4]. Because it is easier to implement digital sion characteristic shows monotonic behavior as a function of ΔCsensor, but also
circuits using immature technologies compared to analog circuits, highly-digital shows non-linear behavior. This is due to the fact that a transparent D-latch is
sensor interfaces such as the PLL-based design in [5] are ideal implementations used as the phase detector instead of the more ideal D-flip flop.  In addition, mis-
when using a new technology. The implemented capacitive sensor interface is match in the frequency of oscillation between the SCO and the DCO limits the
based on a first-order Bang-Bang Phase-Locked Loop (BBPLL) digital architec- dynamic input range. The complete interface consumes 336μW from a 3V sup-
ture, which processes the sensor information entirely in the frequency domain ply.
(Fig. 6.8.1). Its funcationality is described in detail in [5].
This work demonstrates the feasibility of CNFET-based electronics for applica-
The working principle is as follows: the sensor-controlled oscillator (SCO) gen- tions, such as sensing, by successfully implementing a complete sensor inter-
erates a frequency-modulated oscillating signal dependent on the capacitive sen- face entirely using CNFETs. The CNFET-implemented sensor interface is capa-
sor value ΔCsensor - the value of ΔCsensor influences the delay (and thus the fre- ble of converting a range of sensor capacitance values to a single-bit oversam-
quency) of one inverter delay cell of the SCO. The sensor information is now pled digitized output. Although CNFET challenges (doping, contacts, CNT densi-
incorporated in the frequency of the SCO (FM modulation). The digital BBPLL ty, CNT variations [4]) result in less than ideal performance, this first demonstra-
demodulates the sensor-modulated signal, digitizing the sensor information [5]. tion confirms that CNFET-based electronics can be effectively integrated (repro-
The demodulation is performed with a digital BBPLL consisting of a single-bit ducibly) in a VLSI-compatible way and applied to low-power sensor interface
phase detector (implemented using a D-latch which acts as a single-bit quantiz- applications.
er) and a single-bit digitally-controlled oscillator (DCO) in the feedback loop,
which is implemented identically to the SCO. The single-bit DCO control bit cor- Acknowledgements:
responds to the output of the system, which is the output of the D-latch. Since The authors acknowledge the support of NSF, FCRP C2S2, FCRP FENA and FWO
this architecture resembles a first-order noise-shaped ΣΔ-modulator with sin- Flanders, and the Stanford Graduate Fellowship and the Hertz Foundation
gle-bit quantization, a decimation filter (oversampling) has to be utilized to aver- Fellowship for Max Shulaker.
age the output and thus to increase the resolution of the single-bit output (D-
latch) [5]. References:
[1] A.-J. Annema, et al., “Analog Circuits in Ultra-Deep Submicron CMOS,” IEEE
All FETs in Fig. 6.8.1, including the 9-stage ring oscillators, the single FETs, and J. Solid-State Circuits, vol. 40, no. 1, pp. 132-143, Jan. 2005.
the D-latch, are fabricated using CNFETs. The CNFETs are fabricated using the [2] L. Wei, et al., “A non-iterative compact model for carbon nanotube FETs
process described in [6], with the modification of using a local back-gate struc- incorporating source exhaustion effects,” IEDM Dig. Tech. Papers, pp. 917-920,
ture (Fig. 6.8.2). Scanning electron microscopy images of the fabricated circuit- Dec. 2009.
ry composed of the CNFETs are also shown in Fig. 6.8.2. All the CNFETs are [3] A. D. Franklin, et al., “Sub-10nm Carbon Nanotube Transistor,” Nano Letters,
PMOS, and therefore for proper voltage swing and improved gain in this PMOS- vol. 12, no. 2, pp. 758-762, Feb. 2012.
only logic, the pull-up CNFETs are all sized 20X larger than their respective pull- [4] J. Zhang, et al., “Robust Digital VLSI using Carbon Nanotubes,” IEEE Trans.
down CNFETs. In the past, mis-positioned and metallic CNTs posed major CAD, vol. 31, no. 4, pp. 453-471, April 2012.
obstacles to successful demonstration of VLSI-compatible CNFET circuits. We [5] H. Danneels, et al., “A fully-digital, 0.3V, 270nW capacitive sensor interface
use the imperfection-immune paradigm [4] which makes the overall circuit without external references,” European Solid-State Circuits Conf., pp. 287-290,
immune to both mis-positioned and metallic CNTs (Fig. 6.8.3). After undergo- Sept. 2011.
ing all of the processing steps described in [6] and using the design methodol- [6] M.M. Shulaker, et al., “Linear Increases in Carbon Nanotube Density Through
ogy described in [4], correct logic functionality is ensured. The D-latch layout Multiple Transfer Technique,” Nano Letters, vol. 11, no. 5, pp. 1881-1886, May
immune to mis-positioned CNTs is shown in Fig. 6.8.3. Transistor implementa- 2011.
tions of the circuit elements in Fig. 6.8.1 are also shown in Fig. 6.8.3. The gate
length of the CNFETs is equal to 1μm and the supply voltage of the circuits is 3V,
while Vbias is -5V.

112 • 2013 IEEE International Solid-State Circuits Conference 978-1-4673-4516-3/13/$31.00 ©2013 IEEE
ISSCC 2013 / February 18, 2013 / 4:45 PM

Figure 6.8.1: Block diagram of the first-order Bang-Bang Phase-Locked Figure 6.8.2: (a) CNFET local back gate geometry (b) typical Id-Vgs and
Loop-based capacitive sensor interface. It consists of a 9-stage sensor- Id-Vds curves for the CNFETs. (c) SEM of single CNFET (d) SEM of four 9-stage
controlled oscillator, a D-latch, and a 9-stage digitally-controlled oscillator ring oscillators stacked vertically, with the 9-stages of inverters cascaded
which function as a PLL to demodulate the sensor-modulated signal. horizontally (e) SEM of D-latch.

Figure 6.8.3: Schematic of (a) delay stage (an inverter) of ring oscillator and Figure 6.8.4: SCO and DCO outputs (and inverted outputs), as well as frequen-
(b) D-latch. The ratio of all pull-up to pull-down transistors is 20:1. cy dependence on Csensor. Both are 9-stage ring oscillators. Both RMS
(c) Imperfection-immune layout of D-latch. The layout and CNT etch ensures nonlinearities are below 2%, and are calculated as the RMS of the differences
correct logic function. (d) SEM of part of D-latch layout. between the measured output and a linear fit of frequency vs. Csensor.

Figure 6.8.6: CNT-based digitized capacitance sensor output. The horizontal


lines give the mean. Simulated (Stanford CNFET SPICE model [4]) and
Figure 6.8.5: Output waveform of D-latch, tested at 1KHz, and all possible measured results show duty-cycle of D-latch increases with increasing
transition states are tested. Csensor (normalized to max. value of Cfixed).

DIGEST OF TECHNICAL PAPERS • 113


ISSCC 2013 PAPER CONTINUATIONS

Figure 6.8.7: Chip photograph. SEM of segment of individual die shows rows of ring
oscillators, each with various sizing. SEM at the transistor level shows a segment of
an inverter composing one of the ring oscillators.

• 2013 IEEE International Solid-State Circuits Conference 978-1-4673-4516-3/13/$31.00 ©2013 IEEE

You might also like