You are on page 1of 5

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 57, NO.

8, AUGUST 2010 577

Event-Driven Data Acquisition and


Digital Signal Processing—A Tutorial
Yannis Tsividis

Abstract—Event-driven analog-to-digital conversion and associ-


ated digital signal processing techniques are reviewed. Such tech-
niques, still in the research stage, have the potential to significantly
reduce the consumption of energy and bandwidth resources in
several important applications.
Index Terms—Continuous-time (CT) digital signal processing,
event-driven analog-to-digital (A/D) conversion, event-driven sig-
nal processing, level crossing.

I. I NTRODUCTION
Fig. 1. (a) Event-based sampling. (b) Corresponding ADC and DSP power

C ONVENTIONAL data acquisition and signal processing


techniques utilize uniform sampling, with the sampling
frequency determined by the highest expected spectral fre-
dissipation.

quency. When the input signal properties are more relaxed


(lower frequency content, or even long periods of silence), the
high-frequency sampling simply wastes power. This situation
is far from optimum in many new and emerging applications,
which rely on scarce energy resources. A promising alternative
is event-based sampling, in which a sample is produced only
when something significant (an “event”) occurs in the signal.
Event-based sampling originated a long time ago in the context
of control systems [1]–[4], where it took a long time to mature
due to the lack of systematic design techniques. Its applica-
tion in analog-to-digital converters (ADCs) and digital signal
processors (DSPs) is much more recent and is reviewed in
this brief.
The event-based approach results in nonuniform sampling
[5]. An example is shown in Fig. 1(a); samples are generated
only when the input changes enough to cross a level, thus saving
dynamic power in the ADC and following DSP, as illustrated Fig. 2. (a) Input signal, level-crossing samples, and quantized signal.
(b) Digital representation of quantized signal. (c) Delta-mode version of (b).
in Fig. 1(b). In addition, bandwidth resources saved when the
signal is inactive can profitably be used by other more active riods of silence. Finally, event-based sampling seems naturally
signals in the system. This approach can benefit many applica- suited to applications in which the data speed varies, such as
tions powered by a very small battery or by energy scavenging magnetic and optical disk readers and Doppler-shifted signals.
and which involve “bursty” signals with varying activity over Event-based sampling relies on maintaining information on
time, possibly including long periods of silence. An example is the precise sampling instants. The advent of VLSI makes higher
intelligent sensor networks; individual nodes in them need only and higher time resolution possible and, thus, makes event-
transmit information when the environmental quantity being based sampling more and more feasible and attractive.
sensed changes significantly [6]. The same is true for signals This brief reviews event-driven analog-to-digital conversion
involved in wearable, implantable, and digestible biomedical and associated digital signal processing, expanding on an earlier
devices [7]. Speech signals are also bursty, interrupted by pe- conference publication [8].

Manuscript received December 25, 2009; accepted December 31, 2009. II. E VENT-BASED DATA ACQUISITION
Date of publication June 28, 2010; date of current version August 13, 2010.
This work was supported in part by the National Science Foundation under A. Level-Crossing Sampling
Grant CCF-07-01766. This paper was recommended by Associate Editor
T. C. Carusone. One way to achieve event-based sampling is the so-called
The author is with the Department of Electrical Engineering, Columbia
University, New York, NY 10027 USA (e-mail: tsividis@ee.columbia.edu). level-crossing sampling [9]–[11], already introduced in Fig. 1
Digital Object Identifier 10.1109/TCSII.2010.2056012 and further illustrated in Fig. 2(a). A continuous-time (CT)

1549-7747/$26.00 © 2010 IEEE


578 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 57, NO. 8, AUGUST 2010

C. Other Event-Based Sampling Techniques


Various other event-based sampling techniques have been
proposed; in them, an event is considered to occur when an
error exceeds a predefined amount. In one technique, the error
is taken to be the difference between the input and its latest
sample [2], [3]; this is related to level-crossing sampling. Other
errors in use involve the integral of the above difference [19],
[20] or the difference between the input and a prediction of it,
typically first order [2], [21]. As such approaches are not known
to have been used for signal processing, we will emphasize
level-crossing sampling below; however, much of what will be
discussed is applicable to other event-based approaches as well.
Fig. 3. Output and its spectrum with a sinusoidal input, with (a) quantization
only and (b) sampling and quantization.
D. Reconstruction
input signal x(t) is compared with a set of discrete amplitude
levels (broken lines); samples are taken when such levels are Reconstruction of an event-based sampled signal need be no
crossed. The pairs (tk , x(tk )) form a representation of the different from reconstruction of other types of nonuniformly
signal. No sampling clock is present. Low-frequency and low- sampled signals and can be done in several ways [5], [22],
amplitude inputs are sampled less densely in time than high- [23]. The most obvious one is to construct a piecewise-constant
frequency and high-amplitude inputs, and periods of silence waveform from the samples, something that the quantizer
are not sampled at all. No aliasing occurs; this can be traced inherently does in Fig. 2(a). Better performance is possible,
to the fact that the signal samples itself [12]–[14]. However, albeit with increased computational effort (not in real time);
the resulting average sampling rate can be very high if many if the average sampling rate exceeds twice the bandwidth of
levels are used. Ways to reduce this problem are discussed later the signal, exact reconstruction is in principle possible [5],
on. The amplitude levels can be optimized according to the [22], [23]. In [24], weighted sinc interpolation functions [22]
properties of the signal, or even made adaptive [15]–[17]. have been used for postprocessing; with only 16 levels (4-bit
Hardware and system considerations may include a mini- quantization), the error was lower than −100 dB compared with
mum sampling interval [3], [4] or an input filter to limit the the signal. Intuitively, the reason for this is that, even with a few
maximum rate of change of the input, and the insertion of levels in Fig. 2(a), the sample values are exact.
extra samples if the signal is in between levels for a long time
[3], [4]; also, the inclusion of hysteresis for noise immunity E. Reducing the Average Sampling Rate
[3]. For simplicity, such considerations are not included in our
discussion. The above observations offer hope for reducing the average
sampling rate. The results of such efforts are likely to depend on
the reconstruction used. With piecewise-constant reconstruc-
B. CT Quantization tion, it has been shown in [25] that, where the local sampling
Consider now a CT signal passed through a CT quantizer rate is high [e.g., in the high-slope portion in Fig. 1(a)], one can
(without sampling), as shown in Fig. 3(a), with decision thresh- skip certain samples; the corresponding error spectral energy
olds coinciding with the broken-line levels in Fig. 2(a). The increase is mostly out-of-band, with practically no effect in-
output of the quantizer is the staircase signal xq (t) in Fig. 2(a). band. A reduction of average sampling rate by a factor of 5 has
When a level is crossed, this output goes to the closest quantized been demonstrated with speech signals [25]. This both makes
value midway between the levels. There is no clock in the hardware more viable and saves transmission bandwidth and
system. It is clear from Fig. 2(a) that the quantizer output processing power dissipation. Much more can be done in this
inherently contains all the information contained in the level- direction. Sampling rate reduction using other techniques is
crossing samples and that the latter can be reproduced from currently a very active research topic (see, for example, [26]).
the former. Depending on how the level-crossing samples are
utilized, the two techniques can give identical results. To dis-
F. Amplitude Coding
cuss the spectrum of the resulting signal, consider a sinusoidal
input as an example, as shown in Fig. 3(a). The quantized The levels of the quantized or level-crossing-sampled signal
signal will be periodic and can thus be represented by a Fourier in Fig. 2(a) can digitally be represented as in Fig. 2(b); this can
series; it will therefore contain only harmonics, with no error be accomplished, for example, by a flash ADC without a clock
spectral components in between. As many of these harmonics [12], [27]. The binary signals shown in Fig. 2(b) are functions
fall outside the baseband of the following DSP, as suggested by of continuous time. Alternatively, one can indicate the time a
a broken-line frequency response in Fig. 3, the in-band error level is crossed, plus the direction of crossing, as shown in
can be significantly lower than in classical systems involving Fig. 2(c) (this information can be encoded using two bits); this
uniform sampling plus quantization [Fig. 3(b)], typically by is the so-called asynchronous delta modulation [28]–[32]. The
10–20 dB [12]–[14], [18]. original signal values can be obtained from this signal by using
TSIVIDIS: DATA ACQUISITION AND DIGITAL SIGNAL PROCESSING 579

be discrete time with very fine time quantization) but handle


only 0s and 1s. The time intervals are not explicitly coded; the
samples are just transmitted in CT, and they are thus implicitly
present in the signal representation. This CT processing of
binary signals often strikes people as strange, since “digital” has
been associated with discrete time for a long time. However, it
is perfectly legitimate; for a detailed proof, the reader is referred
elsewhere [13], [14]. The system can be followed by a CT
digital-to-analog converter (DAC) to produce an analog signal.
Fig. 4. (a) CT FIR analog filter. (b) Corresponding CT digital filter.
A simple output or input analog filter is needed to attenuate the
periodic frequency response lobes outside the band of interest,
as in conventional systems.
an accumulator and an initial value [33], [31]. Dithering can be
The CT delay lines can be implemented digitally, using
used for improved spectral properties at the expense of average
techniques reported elsewhere [36]. Jitter must carefully be
sampling rate [34].
considered [36]. Digital tuning using delay-locked loop tech-
niques can keep the delay values matched and fixed. A clock
G. Time Coding reference can be used for this and turned off when not needed;
the clock is employed only to tune the value of the delays and
The times tk , or rather the intervals Δk = tk − tk−1 , can be plays no role in the signal processing.
encoded into digital words as well, and stored, if the time axis If the signal is delta-mode encoded, as shown in Fig. 2(c), it
is quantized [9]–[11]. This is referred to as “time coding” [10]. can be followed by an accumulator to produce the digital input
Once time is quantized, spectral components appear in between in Fig. 4(b). The accumulation operation can be combined with
the harmonics in Fig. 3(a); their amplitude becomes larger as the coefficient multipliers, simplifying the design [37].
the quantization is made coarser. As will be shown later, digital The design and evaluation of a test chip is described in
signal processing can be performed without time quantization. [38]; an 8-bit ADC/DSP/DAC CT voice band system achieved
a signal-to-noise-plus-distortion ratio of 47–62 dB, depending
on input frequency, and a power dissipation that decreases
III. E VENT-BASED D IGITAL S IGNAL P ROCESSING
with decreasing signal activity by a factor of 3. This factor is
A. Principle likely to be significantly improved in future event-based DSP
generations.
It has been shown that level-crossing-sampled, or equiva-
The above techniques can be extended to infinite-impulse
lently CT-quantized signals, can digitally be processed [12].
response filters [18], [39].
One can do this by keeping the time continuous [12] or by
quantizing the time [35]. The description below can be thought
B. Difference From Asynchronous DSP
of either as representing true CT operation or as representing
clocked operation with very fine time quantization, so that The DSP described above differs from conventional asyn-
the extra spectral components that result (Section II-G) are of chronous DSPs, such as that in [40]. The latter are discrete-
negligibly small amplitude. time systems, processing sequences of data; the time intervals
Consider a CT finite-impulse response (FIR) analog struc- between samples do not normally represent important infor-
ture, as shown in Fig. 4(a). The coefficient multipliers, the sum- mation. In contrast, in an event-based DSP, the timing infor-
mer, and the delay lines are all CT; no clock is employed. This mation is essential and must carefully be preserved. Thus, the
structure is used, for example, in high-frequency equalizers. name “asynchronous” is inadequate in this case. Nevertheless,
An input x(t) can be processed with this structure, resulting asynchronous circuits can profitably be used for computation in

in an output y(t) = K k=0 ak x(t − kτ ), where τ is the delay
event-based processors [35], [38].
of each delay element, and ak are the desired transfer function
coefficients. Taking the Laplace transform of both sides of this C. Properties
equation, we find the transfer function H(s) = Y (s)/X(s); In addition to the dynamic power dissipation and better
setting in this s = jω, we obtain the frequency response, which quantization error spectral properties, event-based DSP has
can be seen to be periodic with period 2π/τ : several other attractive features; these are now summarized.
Since level-crossing sampling does not suffer from aliasing

K
H(jω) = ak (ejωτ )−k . (1) (Section II-A), the entire system (ADC/DSP/DAC) is free of
k=0 aliasing if implemented in CT; a comparison to the discrete-
time case is shown in Fig. 5. CT DSPs immediately react to
The same structure can in theory also be used to process input changes, unlike classical systems that may not catch such
the approximation of x(t), i.e., xq (t), in Fig. 2(a). If now changes until the following sampling instant. This makes them
xq (t) is replaced by the digital representation in Fig. 2(b), the particularly well suited to very fast digital control loops [41].
processor can be converted to a DSP, as shown in Fig. 4(b) Finally, the fact that there is no clock in the system means low
[12]–[14]. All elements in this processor can remain CT (or can electromagnetic emissions.
580 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS, VOL. 57, NO. 8, AUGUST 2010

oscillating loop involving an integrator and a Schmidt trigger


[46]–[48], also belongs to this category; the recovery of the
resulting signals has been discussed in [49]. Yet a different
representation of signals using only timing is discussed in [50].1
Although CT DSP has been discussed above in the context of
level-crossing sampling, it can be used to process any time-
coded binary signal, such as the ones mentioned above, with
desired information in certain frequency bands. In fact, it can
do so whether the signal is event-based or not, asynchronous
or synchronous; this is because CT DSP looks at all time, and
the method used to produce a binary signal to be processed is
irrelevant to it.

IV. C ONCLUSION
Event-based data acquisition and digital signal processing
offer several attractive properties, notably absence of aliasing,
low quantization error, fast response, and a power dissipation
which decreases with decreasing input activity. Both CT and
discrete-time versions of such systems are possible. Progress
needs to be made on several fronts. On the hardware front,
higher performance ADCs are needed, as are ways to imple-
Fig. 5. Frequency response, spectra with an input in the baseband, and spectra
with an input in a higher lobe of the frequency response. (a) For a CT digital
ment delays with very low power. On the theory front, ways are
filter. (b) For a discrete-time digital filter. needed to reduce the number of samples per unit time. Efforts
so far in both directions are highly encouraging. It is felt that
D. CT Versus Quantized Time the field of event-driven data acquisition and signal processing
holds promise in applications where energy and bandwidth
As mentioned, the above description applies to both CT resources are scarce.
and discrete-time techniques, if in the latter the time axis is
finely quantized. However, the finer the quantization, the more
R EFERENCES
difficult the problem becomes, due to increased storage require-
[1] P. H. Ellis, “Extension of phase plane analysis to quantized systems,” IRE
ments and increased demands on the performance of the clock. Trans. Autom. Control, vol. AC-4, no. 2, pp. 43–54, Nov. 1959.
It is interesting that, whereas infinitely fine time quantization is [2] R. C. Dorf, M. C. McFarren, and C. A. Philips, “Adaptive sampling fre-
infinitely difficult, it is equivalent to not quantizing time at all, quency for sampled-data control systems,” IEEE Trans. Autom. Control,
vol. AC-7, no. 1, pp. 38–47, Jan. 1962.
which is rather easy: it just implies CT operation, which uses no [3] R. Tomovic and G. Bekey, “Adaptive sampling based on amplitude sen-
clock and is thus truly event based [12]–[14]. Such operation sitivity,” IEEE Trans. Autom. Control, vol. AC-11, no. 2, pp. 282–284,
is best suited for real-time applications where no storage is Apr. 1966.
[4] K. E. Årzén, “A simple event-based PID controller,” in Proc. IFAC World
needed; nevertheless, following such operation, the signal can Congr., 1999, vol. 18, pp. 423–428.
be sampled and stored if desired. [5] F. Marvasti, Nonuniform Sampling Theory and Practice. New York:
In discrete time, one can also use an adaptive sampling rate, Kluwer, 2001.
[6] M. Neugebauer and K. Kabitzsch, “A new protocol for a low power sensor
in which uniform sampling is used with different sampling network,” in Proc. IEEE Int. Performance, Comput. Commun. Conf.,
rates in different signal segments, thus piecewise optimizing the 2004, pp. 393–399.
sampling rate to the signal rate of change [42], [43]. [7] M. D. Linderman, G. Santhanam, C. T. Kemere, V. Gilja, S. O’Driscoll,
B. M. Yu, A. Afshar, S. I. Ryu, K. V. Shenoy, and T. H. Meng, “Signal
processing challenges for neural prostheses,” IEEE Signal Process. Mag.,
vol. 25, no. 1, pp. 18–28, Jan. 2008.
E. Versatility of Event-Based DSP in Processing Time-Coded [8] Y. Tsividis, “Event-driven, continuous-time ADCs and DSPs for adapting
Binary Signals power dissipation to signal activity,” in Proc. IEEE Int. Symp. Circuits
Syst., Paris, France, May/Jun. 2010, pp. 3581–3584.
Over the past several decades, many techniques have been [9] J. W. Mark and T. D. Todd, “A nonuniform sampling approach to data
compression,” IEEE Trans. Commun., vol. COM-29, no. 1, pp. 24–32,
developed for encoding information onto the transition times Jan. 1981.
of digital signals. These go by various names. The name [10] J. Foster and T.-K. Wang, “Speech coding using time code modulation,”
“time coding” [10] has been used in relation to level-crossing in Proc. IEEE SoutheastCon, 1991, vol. 2, pp. 861–863.
[11] N. Sayiner, H. N. Sorensen, and T. R. Viswanathan, “A level-crossing
instants as discussed above. Couch [44] defines “pulse time sampling scheme for A/D conversion,” IEEE Trans. Circuits Syst. II,
modulation” as a class of techniques that “encode the sample Analog Digit. Signal Process., vol. 43, no. 4, pp. 335–339, Apr. 1996.
values of an analog signal onto the time axis of a digital [12] Y. Tsividis, “Continuous-time digital signal processing,” Electron. Lett.,
vol. 39, no. 21, pp. 1551–1552, Oct. 16, 2003.
signal,” and discusses two members of that class, i.e., pulse
width modulation and pulse position modulation. A different
type of time coding, named “click modulation,” is described 1 In passing, we note that encoding signal processing coefficients using only
in [45]. Asynchronous sigma–delta modulation, using a self- timing is also possible [51].
TSIVIDIS: DATA ACQUISITION AND DIGITAL SIGNAL PROCESSING 581

[13] Y. Tsividis, “Digital signal processing in continuous time: A possibility [33] N. S. Jayant, “Digital coding of speech waveforms: PCM, DPCM, and
for avoiding aliasing and reducing quantization error,” in Proc. IEEE Int. DM quantizers,” Proc. IEEE, vol. 62, no. 5, pp. 611–632, May 1974.
Conf. Acoust., Speech, Signal Process., 2004, vol. II, pp. 589–592. [34] T. Wang, D. Wang, P. J. Hurst, B. C. Levy, and S. H. Lewis, “A level-
[14] Y. Tsividis, “Mixed-domain systems and signal processing based on input crossing analog-to-digital converter with triangular dither,” IEEE Trans.
decomposition,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 10, Circuits Syst. I, Reg. Papers, vol. 56, no. 9, pp. 2089–2099, Sep. 2009.
pp. 2145–2156, Oct. 2006. [35] F. Aeschlimann, E. Allier, L. Fesquet, and M. Renaudin, “Asynchronous
[15] K. M. Guan and A. C. Singer, “Opportunistic sampling by level-crossing,” FIR filters: Towards a new digital processing chain,” in Proc. IEEE Int.
in Proc. IEEE Int. Conf. Acoust., Speech, Signal Process., 2007, vol. III, Symp. Asynchronous Circuits Syst., 2004, pp. 198–206.
pp. 1513–1516. [36] B. Schell and Y. Tsividis, “A low power tunable delay element suitable for
[16] K. M. Guan, S. S. Kozat, and A. C. Singer, “Adaptive reference levels asynchronous delays of burst information,” IEEE J. Solid-State Circuits,
in a level-crossing analog-to-digital converter,” EURASIP J. Adv. Signal vol. 43, no. 5, pp. 1227–1234, May 2008.
Process., vol. 2008, 2008, Article ID 513706, 11 pages. [37] Y. W. Li, K. L. Shepard, and Y. P. Tsividis, “A continuous-time program-
[17] K. Kozmin, J. Johansson, and J. Delsing, “Level-crossing ADC perfor- mable digital FIR filter,” IEEE J. Solid-State Circuits, vol. 41, no. 11,
mance evaluation toward ultrasound application,” IEEE Trans. Circuits pp. 2512–2520, Nov. 2006.
Syst. I, Reg. Papers, vol. 56, no. 8, pp. 1708–1719, Aug. 2009. [38] B. Schell and Y. Tsividis, “A continuous-time ADC/DSP/DAC system
[18] B. Schell and Y. Tsividis, “Analysis and simulation of continuous-time with no clock and activity-dependent power dissipation,” IEEE J. Solid-
digital signal processors,” Signal Process., vol. 89, no. 10, pp. 2013–2026, State Circuits, vol. 43, no. 11, pp. 2472–2481, Nov. 2008.
Oct. 2009. [39] D. Brückmann, “Design and realization of continuous-time wave digital
[19] D. Ciscato and L. Mariani, “On increasing sampling efficiency by adap- filters,” in Proc. IEEE Int. Symp. Circuits Syst., 2008, pp. 2901–2904.
tive sampling,” IEEE Trans. Autom. Control, vol. AC-12, no. 3, p. 318, [40] G. M. Jacobs and R. W. Brodersen, “A fully asynchronous digital signal
Jun. 1967. processor using self-timed circuits,” IEEE J. Solid-State Circuits, vol. 25,
[20] M. Miskowicz, “Asymptotic effectiveness of the event-based sampling no. 6, pp. 1526–1537, Dec. 1990.
according to the integral criterion,” Sensors, vol. 7, no. 1, pp. 16–37, 2007. [41] Z. Zhao and A. Prodic, “Continuous-time digital controller for high-
[21] Y. S. Suh, “Send-on-delta sensor data transmission with a linear predic- frequency DC–DC converters,” IEEE Trans. Power Electron., vol. 23,
tor,” Sensors, vol. 7, no. 4, pp. 537–547, 2007. no. 2, pp. 564–573, Mar. 2008.
[22] J. L. Yen, “On nonuniform sampling of bandwidth-limited signals,” IRE [42] W. R. Dieter, S. Datta, and K. K. Wong, “Power reduction by varying
Trans. Circuit Theory, vol. CT-3, no. 4, pp. 251–257, Dec. 1956. sampling rate,” in Proc. Int. Symp. Low Power Electron. Design, 2005,
[23] F. J. Beutler, “Error-free recovery of signals from irregularly spaced sam- pp. 227–232.
ples,” SIAM Rev., vol. 8, no. 3, pp. 328–335, Jul. 1966. [43] S. M. Qaisar, L. Fesquet, and M. Renaudin, “Computationally efficient
[24] C. Vezyrtzis and Y. Tsividis, “Processing of signals using level-crossing adaptive rate sampling and filtering,” in Proc. Eur. Signal Process. Conf.,
sampling,” in Proc. IEEE Int. Symp. Circuits Syst., 2009, pp. 2293–2296. 2007, pp. 2139–2143.
[25] M. Kurchuk and Y. Tsividis, “Signal-dependent variable-resolution quan- [44] L. W. Couch, II, Digital and Analog Communication Systems.
tization for continuous-time digital signal processing,” in Proc. IEEE Int. New York: McMillan, 1990.
Symp. Circuits Syst., 2009, pp. 1109–1112. [45] B. F. Logan, Jr., “Click modulation,” AT&T Bell Lab. Tech. J., vol. 63,
[26] E. J. Candes and M. B. Wakin, “An introduction to compressive sam- no. 3, pp. 401–423, Mar. 1984.
pling,” IEEE Signal Process. Mag., vol. 25, no. 2, pp. 21–30, Mar. 2008. [46] P. D. Sharma, “Signal characteristics of rectangular-wave modulation,”
[27] F. Akopyan, R. Manohar, and A. B. Apsel, “A level-crossing Flash asyn- Electron. Eng., vol. 40, pp. 103–107, Feb. 1968.
chronous analog-to-digital converter,” in Proc. IEEE Int. Symp. Asynchro- [47] C. J. Kikkert and D. J. Miller, “Asynchronous Delta Sigma modulation,”
nous Circuits Syst., 2006, pp. 12–22. Proc. IREE (Australia), vol. 36, pp. 83–88, Apr. 1975.
[28] H. Inose, T. Aoki, and K. Watanabe, “Asynchronous delta modulation [48] E. Roza, “Analog-to-digital conversion via duty-cycle modulation,” IEEE
system,” Electron. Lett., vol. 2, no. 3, pp. 95–96, Mar. 1966. Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 44, no. 11,
[29] P. D. Sharma, “Characteristics of asynchronous delta-modulation and pp. 907–914, Nov. 1997.
binary-slope-quantized-P.C.M. systems,” Electron. Eng., vol. 40, pp. 32– [49] A. Lazar and L. Toth, “Time encoding and perfect recovery and sensitivity
37, Jan. 1968. analysis of time encoded bandlimited signals,” in Proc. IEEE Int. Conf.
[30] R. Steele, Delta Modulation Systems. New York: Wiley, 1975. Acoust., Speech, Signal Process., 2003, vol. VI, pp. 709–712.
[31] E. Allier, G. Sicard, L. Fesquet, and M. Renaudin, “A new class of [50] R. Kumaresan and Y. Wang, “On representing signals using only timing
asynchronous A/D converters based on time quantization,” in Proc. IEEE information,” J. Acoust. Soc. Amer., vol. 110, pt. 1, no. 5, pp. 2421–2439,
Int. Symp. Asynchronous Circuits Syst., 2003, pp. 196–205. Nov. 2001.
[32] M. Trakimas and S. Sonkusale, “A 0.8 V asynchronous ADC for energy [51] Y. Tsividis, “Signal processors with transfer function coefficients de-
constrained sensing applications,” in Proc. IEEE Custom Int. Circuits termined by timing,” IEEE Trans. Circuits Syst., vol. CAS-29, no. 12,
Conf., 2006, pp. 173–176. pp. 807–817, Dec. 1982.

You might also like