You are on page 1of 13

Broj Način Maksimalna

Tekst zadatka Razvojna maketa Ime i prezime studenta


zadatka rješavanja ocjena
Izraditi sklop dekodera "3 na 8" primjenom samo NI logičkih
Z01 Schematic Nexys 3 3
sklopova.
Izraditi sklop dekodera "3 na 8" primjenom samo NILI
Z02 Schematic Nexys 3 3
logičkih sklopova.
Izraditi sklop dekodera "3 na 8" primjenom I, ILI i NE logičkih
Z03 Schematic Nexys 3 3
sklopova
Izraditi sklop multipleksora "8 na 1" primjenom samo NI
Z04 Schematic Nexys 3 4
logičkih sklopova.
Izraditi sklop multipleksora "8 na 1" primjenom samo NILI
Z05 Schematic Nexys 3 4
logičkih sklopova.
Primjenom maksterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z06 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve neparne E2LP 3
znamenke.
Primjenom minterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z07 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve neparne E2LP 3
znamenke.
Primjenom minterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z08 Schematic izlazom (Z) koji obavlja funkciju: Z = 1 za sve brojeve djeljive s Nexys 3 3
3.
Primjenom minterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z09 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve brojeve djeljive s E2LP 3
4.
Primjenom maksterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z10 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve brojeve djeljive s Nexys 3 3
5.
Primjenom minterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z11 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve brojeve djeljive s E2LP 2
6.
Primjenom maksterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z12 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve brojeve djeljive s Nexys 3 3
7.
Primjenom minterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z13 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve brojeve djeljive s E2LP 2
8.
Primjenom minterme izraditi sklop s 4 ulaza (A, B, C, D ) i
Z14 Schematic izlazom (Z) koji obavlja funkciju: Z =1 za sve brojeve djeljive s E2LP 2
9.
Dizajnirati sklop koji će omogućiti rad 7-segmentnog
pokaznika na sljedeći način (100000->110000->111000-
>111100->111110->111111->011111->001111->000111-
Z15 Schematic Nexys 3 5
>000011->000001->000000) gdje '1' označava segment koji
svijetli, a '0' segment koji ne svijetli, redoslijed segmenata je
„abcdef“. Ulazna frekvencija treba biti manja od 5 Hz.
Dizajnirati 8-bitno Johnsonovo brojilo s frekvencijom signala
Z16 Schematic takta manjom od 5 Hz. Izlaze brojila prikazati na LE diodama E2LP 5
razvojnog sustava
Dizajnirati sklop koji ulazni podatak, Aikenov kod pretvara na
Z17 Schematic izlazu u pripadajući 4-bitni binarni zapis. Na izlaze spojiti Nexys 3 3
svjetleće diode za prikaz logičkih stanja.
Dizajnirati 4-bitno množilo koristeći samo osnovne funkcije.
Z18 Schematic E2LP 4
(I,ILI,NI,NILI).
Izraditi sklop koji prikazuje 4-bitni heksadekadski kod na
Z19 Schematic Nexys 3 4
jednom 7-segmentnom pokazivaču.
Izraditi 8-bitno asikrono brojilo prema gore/dolje s
mogućnošću resetiranja pomoću tipkala i opcije start/stop
Z20 Schematic Nexys 3 5
pomoću sklopke
. Frekvencija brojanja 2Hz.
Realizirati 4- bitni komparator dva broja primjenom samo NI
Z21 Schematic E2LP 5
logičkih sklopova.
Realizirati 4- bitni komparator dva broja primjenom I,ILI i NE
Z22 Schematic Nexys 3 2
logičkih sklopova.
Izraditi sklop demultipleksora "3 na 8" primjenom samo NI
Z23 Schematic E2LP 3
logičkih sklopova.
Izraditi sklop demultipleksora "3 na 8" primjenom samo NILI
Z24 Schematic E2LP 3
logičkih sklopova.
Izraditi sklop demultipleksora "3 na 8" primjenom I,ILI,NE
Z25 Schematic Nexys 3 2
logičkih sklopova.
Izraditi sklop multipleksora "8 na 1" primjenom I,ILI,NE
Z26 Schematic E2LP 2
logičkih sklopova.
Izraditi sklop koji množi 2-bitni ulaz, heksadekadski rezultat
Z27 Schematic Nexys 3 4
prikazati na 7-segmetnom pokazivaču.
Izraditi sklop koji zbraja dva 3-bitna broja i prikazuje ih na 7-
Z28 Schematic segmetnom pokazivaču, uz uvjet samostalnog kreiranja Nexys 3 5
potpunog zbrajala pomoći NI sklopova.
Izraditi sklop koji prikazuje 4- bitni Gray-ev kod na 7
Z29 Schematic Nexys 3 4
segmentnom pokazivaču.
Izraditi sklop koji prikazuje 4-bitni XS-3 kod na 7-
Z30 Schematic Nexys 3 4
segmentnom pokazivaču.
Izraditi sklop koji će upravljati intenzitetom svijetla LE diode.
Z31 VHDL Intenzitet odabrati s 4 sklopke. (minimalno tri različita E2LP 4
intenziteta)
Izraditi sklop koji će na 7-segmetnim pokaznicima prikazivati
dekadsku znamenku (0 – 9) koja rotira od lijevog ka desnom
Z32 VHDL Nexys 3 5
pokazniku svakih 0.5 sekundi, uz mogućnost promjene
smjera. Dekadsku znamenku odabrati koristeći sklopke.
Izraditi sklop koji će na 7-segmetnim pokaznicima prikazivati
heksadekadsku znamenku (0 –F) koja rotira od lijevog ka
Z33 VHDL desnom pokazniku svake sekunde, uz mogućnost promjene Nexys 3 5
smjera. Heksadekadsku znamenku odabrati koristeći
sklopke.
Izraditi sklop koji će na 7-segmetnim pokaznicima prikazivati
heksadekadsku znamenku (0 – F) koji rotira od lijevog ka
Z34 VHDL Nexys 3 4
desnom pokazniku svake sekunde. Heksadekadsku
znamenku odabrati s 4 sklopke.
Izraditi sklop s kojim će znak „FErIt“ rotirati s desna na lijevo
Z35 VHDL Nexys 3 5
po 7-segmentnim pokaznicima svake sekunde.
Izraditi sklop s kojim će znak „dIg“ rotirati s desna na lijevo
Z36 VHDL po 7-segmentnim pokaznicima svake pola sekunde, uz Nexys 3 5
mogućnost promjene smjera
Izraditi sklop 4 - bitne „ALU“ sa operacijama: zbrajanje,
uspoređivanje, dekrementiranje i logičke "I", i "NOT"
Z37 VHDL Nexys 3 5
operacije. Ulazi su sklopke i tipkala razvojnog sustava, a izlazi
su LE diode i 7-segmentni pokaznici razvojnog sustava.
Izraditi sklop koji će svake 1/10 sekundi aktivirati jedan
Z38 VHDL segment 7-segmentnog pokaznika počevši od segmenta „a“ Nexys 3 3
ako je tipkalo pritisnuto, a unazad ako tipkalo nije pritisnuto
Izraditi sklop „trčeće svjetlo s 8 svjetlećih dioda. U svakom
Z39 VHDL E2LP 3
trenutku svijetli 1 dioda, a frekvencija izmjene je 0,2 s
Izraditi sklop koji je sastavljen iz dvostrukog reda LE dioda
koje se uključuju u parovima (ukoliko bi brojevima 1, 3, 5 i 7
Z40 VHDL označili jedan red dioda, a drugi red brojevima 2, 4, 6 i 8 E2LP 3
sinkrono uključivati LE-diode 1 i 2, nakon 2 sekunde diode 3 i
4, zatim 5 i 6 na kraju diode 7 i 8.)
Izraditi sklop koji će na četiri 7-seg pokazivaču ispisati tekst
„BABA“ (na svakom pokazivaču prikazati jedno slovo).
Z41 VHDL Nexys 3 5
Pokazivače uključivati/isključivati svake pola sekunde, kako
bi nastao dojam treptavog znaka.
Izraditi sklop koji će na četiri 7-seg pokazivaču ispisati tekst
„DEDA“ (na svakom pokazivaču prikazati jedno slovo).
Z42 VHDL Nexys 3 5
Pokazivače uključivati/isključivati svake sekunde, kako bi
nastao dojam treptavog znaka
Izraditi sklop koji odbrojava od 3B heksadekadski. Brzinom
Z43 VHDL 1s, vremenski sklop mora imati mogućnost Start/Stop i Set Nexys 3 5
na 3B.
Izraditi sklop koji će na dva 7-segmentna pokaznika prikazati
Z44 VHDL heksadekadsku vrijednost 7 bitnog binarnog broja učitanog Nexys 3 4
sa sklopki razvojnog sustava.
Izraditi sklop koji će na tri 7-segmentna pokaznika prikazati
Z45 VHDL dekadsku vrijednost 8 – bitnog binarnog broja učitanog Nexys 3 4
sklopkama razvojnog sustava.
Izraditi sklop koji će proizvoditi najmanje 3 različita zvučna
Z46 VHDL Nexys 3 5
tona. Student sam osigurava dodatnu opremu.
Izraditi sklop 4 - bitne „ALU“ sa operacijama: oduzimanje,
inkrementiranje, dekrementiranje i logičku "ILI" i operaciju.
Z47 VHDL Nexys 3 5
Ulazi su sklopke i tipkala razvojnog sustava, a Izlazi su LE
diode i 7-segmentni pokaznici razvojnog sustava.
Izraditi sklop 8 - bitnog množila. Rezultat množenja prikazati E2LP + dodatni
Z48 VHDL 5
u heksadekadskom formatu na 7 - segmentnim pokaznicima. modul
Izraditi sklop brojila impulsa u intervalu trajanja jedne
Z49 VHDL sekunde. Rezultat prikazati na 7 -segmentnim pokaznicima, Nexys 3 5
pretpostavka je da rezultat brojenja nije veći od FFFF(HEX).
Izraditi sklop generatora signala takta. Odabirom pomoću
Z50 VHDL sklopki razvojnog sustava frekvencija signala takta treba biti: E2LP 3
3 Hz, 6 Hz, 12 Hz, 24 Hz, 100 Hz, 1000 Hz i 2000 Hz..
Izraditi sklop koji će pretvoriti 8 bitni binarni broj u BCD
Z51 VHDL Nexys 3 5
ekvivalent i prikazati na 7-segmentnim pokaznicima.
Izraditi sklop koji upravlja frekvencijom izmjene „trčećeg“
svjetla sastavljenog iz 8 LE dioda. U svakom trenutku svijetli
Z52 VHDL jedna LE dioda. Podešavati frekvenciju izmjene primjenom E2LP 5
sklopke razvojnog sustava. Dostupne frekvencije izmjene
trebaju biti 4 Hz, 8 Hz, 16 Hz i 32 Hz.
Izraditi sklop koji broji od 30 do 210. Trenutnu vrijednost
brojila pokazivati na tri 7 segmentna pokaznika.
Implementirati prekidač za START/STOP, i tipku SET koja
Z53 VHDL Nexys 3 5
postavlja brojilo u vrijednost 110. Prikazom vrijednosti 210,
brojilo nastavi brojiti od 30. Frekvencija izmjene stanja na
brojilu je 1 Hz.
Realizirati 4 bitno množilo s prikazom rezultata na 7-
Z54 VHDL segmetnim pokaznicima u HEX sustavu. Dva 4 bitna broja se Nexys 3 5
učitavaju sa sklopki razvojnog sustava.
Izraditi sklop koji će za 8 sklopki prikazivati dekadske
znamenke na 7-segmentnom pokazniku. Prva sklopka
Z55 VHDL predstavlja dekadski 1, druga 2 itd. Ukoliko je uključeno više Nexys 3 5
sklopki prikazati zbroj. Ako nije uključena niti jedna sklopka
potrebno je prikazati 0.
Izraditi sklop koji predstavlja digitalni sat, točno vrijeme
Z56 VHDL Nexys 3 5
pokazivati na 7- segmentnom pokazivaču.
Izraditi sklop koji upravlja LE diodama, upravljati na način da
u svakome trenutku trebaju svijetliti dvije diode u zrcalnom
Z57 VHDL E2LP 4
odnosu (10000001 -> 01000010 ->00100100->00011000 -
>00100100....) trajanje izmjene je pola sekunde.
Izraditi sklop koji predstavlja štopericu do 5 minuta , na 7-
Z58 VHDL segmentnom pokazniku prikazivati sekunde a LE Nexys 3 5
predstavljaju minute. Omogućiti START/STOP i RESET
Izraditi sklop koji odbrojava 60 sekundi, vrijeme prikazivati
Z59 VHDL na 7-segmetnom pokazniku nakon isteka sat se treba Nexys 3 5
zaustaviti. Pritiskom na tipkalo sklop započinje novi ciklus.
Realizirati 4 bitno množilo s prikazom rezultata LE diodama.
Z60 VHDL E2LP 2
Dva 4 bitna broja se učitavaju sa sklopki razvojnog sustava.
Izraditi sklop koji će pretvoriti 8 bitni binarni broj u dekadski
Z61 VHDL Nexys 3 5
ekvivalent i prikazati na 7-segmentnim pokaznicima.
Izraditi sklop koji će upravljati intenzitetom svijetla LE diode.
Z62 VHDL Intenzitet odabrati pomoću tipkala (minimalno tri različita E2LP 4
intenziteta).
Izraditi sklop koji će na 7-segmetnim pokaznicima prikazivati
HEX znamenku (0 – F) koji rotira od desnog ka lijevom
Z63 VHDL pokazniku svake sekunde. HEX znamenku odabrati s pomoću Nexys 3 5
sklopki, a pritiskom na tipkalo promijeniti frekvenciju
prikazivanja (0,5s->1s-2s)
Izraditi sklop s kojim će redom aktivirati segmente (uvijek je
jedan aktivan) 7-segmentnog pokaznika počevši od
Z64 VHDL Nexys 3 4
segmenta „a“ Pritiskom na tipkalo promijeniti frekvenciju
(minimalno tri različite).
Pomoću strukturnog VHDL koda dizajnirati 8-bitno
Johnsonovo brojilom s frekvencijom signala takta od 5Hz, s
Z65 VHDL E2LP 4
mogućnošću SET/RESET. Izlaze brojila prikazati na LE
diodama.
Dizajnirati 8-bitno Johnsonovo brojilo s frekvencijom signala
Z66 VHDL takta manjom od 5 Hz. Izlaze brojila prikazati na LE diodama E2LP 3
razvojnog sustava
Izraditi sklop koji će aktivirati rubne segmente 7 segmentnog
pokaznika. Redom se aktiviraju rubni segmente prvog
Z67 VHDL pokaznika, nastavlja se na drugi, treći pa četvrt. Nexys 3 5
Npr. 1. pokaznik segment a, f,e,d 2. pokaznik segment d, 3.
pokaznik segment d, 4. pokaznik segment d, c,b,a ….
Izraditi sklop koji aktivira istovremeno prvi i zadnji 7-
segmentni pokaznik redom a->b->c->d->e->f, pritiskom na
Z68 VHDL Nexys 3 4
tipkalo obrnuti redoslijed aktiviranja. Proizvoljna frekvencija
aktivacije pojedinog pokaznika.
Izraditi sklop koji će upravljati brzinom rada istosmjernog
motora. Brzinom upravljati s dva tipkala jedno tipkalo
Z69 VHDL Nexys 3 5
povećava brzinu, a drugo tipkalo smanjuje brzinu motora.
Napomena: Studen sam osigurava dodatnu opremu.
Izraditi sklop koji predstavlja semafor koristeći 3 RGB diode,
E2LP + dodatni
Z70 VHDL crveno svijetlo traje 3 sekunde žuto traje 1 sekundu i zeleno 4
modul
traje 8 sekundi.
Izraditi sklop koji predstavlja semafor koristeći 3 RGB diode,
crveno svijetlo traje 4 sekunde žuto traje 1,5 sekundu i E2LP + dodatni
Z71 VHDL 5
zeleno traje 8 sekundi, dok je je aktivno crveno svijetlo na 6 modul
segmentnom pokazivaču treba pisati „StOP“.
Izraditi sklop koji predstavlja semafor koristeći 3 RGB diode,
crveno svijetlo traje 3 sekunde žuto traje pola sekunde i E2LP + dodatni
Z72 VHDL 5
zeleno traje 6 sekundi, s mogućnošću prekida rutine na modul
tipkalo (pritiskom na tipkalo aktivira se crveno svijetlo)
Izraditi sklop koji redom aktivira RGB diode (prvo crvenu,
zelenu plavu, zatim isto ponoviti na sljedećoj diodi) te E2LP + dodatni
Z73 VHDL 5
istovremeno ispisivati na jednom 7-segmentnom pokazniku modul
redni broj aktivne diode a na drugom trenutno aktivnu boju.
Izraditi sklop koji pomoću DIP sklopki upravlja s RGB E2LP + dodatni
Z74 VHDL 3
diodama (tri sklopke za sve diode) modul
Izraditi sklop koji pomoću DIP sklopki upravlja s RGB E2LP + dodatni
Z75 VHDL 4
diodama za svaku diodu koristiti po 3 sklopke. modul
Realizirati 8 bitno množilo dva broja koristeći DIP sklopke, E2LP + dodatni
Z76 VHDL 5
rezultat prikazivati na matričnom pokazniku. modul
Realizirati 8bitno zbrajalo dva broja koristeći DIP sklopke, E2LP + dodatni
Z77 VHDL 5
rezultat prikazivati na matričnom pokazniku modul
Realizirati 4 bitno množilo koristeći DIP sklopke, rezultat E2LP + dodatni
Z78 VHDL 5
prikazivati na matričnom pokazniku modul
Realizirati komparator brojeva dva 8-bitna broja, rezultate
E2LP + dodatni
Z79 VHDL prikazivati na RGB diodi (zeleno A > B, bijelo A=B ,crveno 4
modul
A<B)
Upravljati matričnim pokaznikom na sljedeći način. Redom E2LP + dodatni
Z80 VHDL 4
aktivirati (0,0), (0,1)… (0,7),(1,0)…..(7,7). modul
Upravljati matričnim pokaznikom na sljedeći način. Redom
E2LP + dodatni
Z81 VHDL aktivirati (0,0), (0,1)… (0,7),(1,0)…..(7,7) zatim obrnutim 5
modul
redoslijedom gasiti iste.
Izraditi sklop koji će pomoću matričnog pokaznika ispisivati
E2LP + dodatni
Z82 VHDL „FERIT“. U svakome trenutnu svijetli jedno slovo, mijenjati 5
modul
slova frekvencijom 2 Hz.
Izraditi sklop koji će pomoću matričnog pokaznika ispisivati
E2LP + dodatni
Z83 VHDL vaše vlastito ime. U svakome trenutnu svijetli jedno slovo, 5
modul
mijenjati slova frekvencijom 2 Hz.
Izraditi sklop kojemu pomoću DIP sklopke se odabire 4 bitni
E2LP + dodatni
Z84 VHDL broj (binarna kombinacija) i isti se u dekadskom zapisu 5
modul
prikazuje na matričnom pokazniku.
Izraditi sklop koji predstavlja semafor za automobile koristeći
E2LP + dodatni
Z85 VHDL 3 RGB diode, trajanja stanja su proizvoljna dok na matričnom 5
modul
pokazniku realizirali semafor za pješake.
Izraditi sklop koji na matričnom pokazniku prikazuje barem E2LP + dodatni
Z86 VHDL 4
tri emotikona, promjenu omogućiti na pritisak tipkala. modul
Upravljati matričnim pokaznikom na sljedeći način. Redom E2LP + dodatni
Z87 VHDL 4
aktivirati (0,0), (1,0)… (7,0),(0,1)…..(7,7). modul
Upravljati matričnim pokaznikom na sljedeći način. Redom
E2LP + dodatni
Z88 VHDL aktivirati (0,0), (1,0)… (7,0),(0,1)…..(7,7), s mogućnošću 5
modul
pauziranja pomoću tipkala.
Izraditi sklop koji odbrojava od 10 do 0 frekvencijom 1 Hz
E2LP + dodatni
Z89 VHDL vrijeme prikazivati na 7-segmentnom pokazniku nakon isteka 5
modul
vremena na matričnom pokazniku ispisati „GO“.
Izraditi sklop koji će na matričnom pokazniku prikazivati
E2LP + dodatni
Z90 VHDL heksadekadsku vrijednost 8 bitnog unesenog broja s DIP 5
modul
sklopki
Izraditi sklop koji će upravljati matričnim pokaznikom
E2LP + dodatni
Z91 VHDL pomoći DIP sklopki. Svaka sklopka aktivira jedan cijeli red ili 4
modul
stupac.
Izraditi sklop koji proizvoljnim redoslijedom aktivira diode
matričnog pokaznika, pomoću DIP sklopki je potrebno
E2LP + dodatni
Z92 VHDL upravljati frekvencijom redoslijeda, frekvencije u rasponu 1- 5
modul
8 Hz (koristeći 8 prekidača) uz uvjet ukoliko je više
frekvencija aktivno rad sklopa se pauzira.
Realizirati 3 bitno množilo dva broja koristeći DIP sklopke,
E2LP + dodatni
Z93 VHDL rezultat prikazivati na matričnom pokazniku u 5
modul
heksadekadskom brojevnom sustavu.
Realizirati 5 bitno zbrajalo dva broja koristeći DIP sklopke,
E2LP + dodatni
Z94 VHDL rezultat prikazivati na matričnom pokazniku u 5
modul
heksadekadskom brojevnom sustavu.
Izraditi sklop koji će proizvoljnom brzinom i redoslijedom
aktivirati matrični pokaznik, te istovremeno na 7- E2LP + dodatni
Z95 VHDL 5
sementnom pokazniku prikazivati broj aktivnih dioda na modul
matričnom pokazniku.
Izraditi sklop koji pomoću DIP sklopki aktivira RGB diode (sve
tri, 3 sklopke za jednu diodu → upravljati pomoću 9 sklopki)
E2LP + dodatni
Z96 VHDL te istovremeno aktivirati diode matričnog pokaznika na 5
modul
način da je vrijednost crvene=1 aktivna dioda, zelene= 2
aktivne diode i plave= 3 aktivne diode)
Realizirati sklop koji proizvoljno aktivira diode matričnog
pokaznika, pritiskom na tipkalo zaustavlja se aktiviranje E2LP + dodatni
Z97 VHDL 5
matričnog i započinje redom aktivirati 7- segmentne modul
pokaznike (koristiti sva 4 zasebno).
Realizirati sklop koji aktivira sve LE diode razvojnog sustava
Z98 VHDL Nexys 3 3
različitom (proizvoljnom) frekvencijom.
Izraditi 8-bitno sinkrono brojilo prema gore/dolje. Stanje
Z99 VHDL brojila prikazati na LE diodama razvojnog sustava, pomoću Nexys 3 2
jedne sklopke odabrati smjer.
Realizirati 8 ulazni ILI sklop pomoću NI sklopova. Izlaz
Z100 Schematic Nexys 3 2
prikazati na LE diodi, za ulaze koristiti sklopke.
Izraditi sklop koji redom aktivira sve pokaznike sustava
E2LP + dodatni
Z101 VHDL frekvencijom 10 Hz (RGB diode, 7-segmetne pokaznike i 4
modul
matrični pokaznik)
Izraditi sklop koji redom aktivira sve pokaznike sustava
frekvencijom 20 Hz (RGB diode, 7-segmetne pokaznike i E2LP + dodatni
Z102 VHDL 5
matrični pokaznik) s mogućnošću pauze pomoću DIP modul
sklopke..
Izraditi sklop koji broji od 0 do 99 frekvencijom 2 Hz i rezultat
E2LP + dodatni
Z103 VHDL ispisuje na 7-segmetnim pokaznicima i matričnom 5
modul
pokazniku.
Realizirati sklop koji aktivira matrični pokaznik na način da se
stvori dojam kvadrata te frekvencijom izmjene 1 Hz
E2LP + dodatni
Z104 VHDL postupno smanjivati kvadrat. Aktivne su diode (0,0) do (0,7) 4
modul
do(7,7) do (0,7) do (0,0) sljedeći korak aktivne su (1,1) do
(1,6) do (6,6) do (6,1) itd…
Realizirati sklop koji u ovisnosti o DIP sklopkama aktivira
E2LP + dodatni
Z105 VHDL matrični pokaznik na način da kombinacija sklopki 4
modul
predstavlja indeks aktivne diode na matričnom pokazniku.
Izraditi sklop koji predstavlja digitalni sat, točno vrijeme E2LP + dodatni
Z106 VHDL 5
pokazivati na 7- segmentnom pokazivaču. modul
Izraditi sklop koji će upravljati intenzitetom svijetla RGB
E2LP + dodatni
Z107 VHDL diode. Intenzitet i boju odabirati pomoću DIP sklopke, 5
modul
minimalno je potrebno 4 razine intenziteta.
Izraditi sklop koji dijagonalno aktivira matrični pokaznik. Npr. E2LP + dodatni
Z108 VHDL 4
(0,0) zatim (0,1) i (1,0) itd. Frekvencija aktiviranja je 2 Hz. modul
Izraditi sklop s kojim će znak „FErIt“ rotirati s desna na lijevo E2LP + dodatni
Z109 VHDL 5
po 7-segmentnim pokaznicima svake sekunde. modul
Realizirati sklop koji će pomoću DIP sklopki upravljati RGB
diodama (sa svim) te će na tri 7-segmentna pokaznika E2LP + dodatni
Z110 VHDL 5
pokazivati koja boja trenutno svijetli na svakoj pojedinačnoj modul
diodi.
Izraditi sklop koji će mijenjati boje RGB diode, frekvencija E2LP + dodatni
Z111 Schematic 4
izmjene 2 Hz. modul
Dizajnirati 4 bitno-zbrajalo koristeći samo osnovne funkcije.
Z112 Schematic Nexys 3 2
(I,ILI,NI,NILI).
Spojiti shemu na temelju jednadžbe:
Z113 Schematic 𝑌 = ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(𝐴 + 𝐵 + 𝐶 ∙ 𝐷) + (𝐷 ∙ 𝐴) ∙ (𝐴 + 𝐶 ∙ 𝐷) ∙ 𝐴 + ̅̅̅̅̅̅̅̅̅(𝐵 ∙ 𝐷) Nexys 3 2
Ulazi su sklopke a izlaz je LE dioda razvojne makete.
Spojiti shemu na temelju jednadžbe:
Z114 Schematic ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
𝑌 = ̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(𝐴 + 𝐵 ∙ 𝐶) ∙ (𝐵 ∙ 𝐷) + (𝐴 + 𝐷) + 𝐶 Nexys 3 2
Ulazi su sklopke a izlaz je LE dioda razvojne makete. 4 ULAZA
Spojiti shemu na temelju jednadžbe:
Z115 Schematic 𝑌 = (𝐷 ∙ 𝐴) + ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(𝐴 + 𝐷 + 𝐶) ∙ ̅̅̅̅̅̅̅̅̅
(𝐵 ∙ 𝐶) + 𝐴 Nexys 3 2
.Ulazi su sklopke a izlaz je LE dioda razvojne makete.
Spojiti shemu na temelju jednadžbe:
Z116 Schematic 𝐴 ∙ (𝐵 + 𝐷 + 𝐴) ∙ ̅̅̅̅̅̅̅̅
𝑌 = (𝐷 + 𝐶) ∙ ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅ 𝐶 + 𝐵̅ Nexys 3 2
Ulazi su sklopke a izlaz je LE dioda razvojne makete.
Spojiti shemu na temelju jednadžbe:
Z117 Schematic 𝑌 = ̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅
(𝐴 ∙ 𝐵̅ ∙ 𝐷) + 𝐷 ̅ + (𝐴 ∙ 𝐶) ∙ 𝐴̅ Nexys 3 2
Ulazi su sklopke a izlaz je LE dioda razvojne makete.
Izraditi sklop koji će na matričnom pokazniku prikazivati
E2LP + dodatni
Z118 VHDL geometrijske likove (kvadrat, trokut i krug) frekvencijom 4
modul
izmjene 1 Hz.
Izraditi sklop koji će pomoću 8 DIP sklopki upravljati
E2LP + dodatni
Z119 VHDL intenzitetom (256 razina) RGB dioda. Pomoću 3 DIP sklopke 4
modul
potrebno je upravljati bojama RGB diode.
Izraditi generator paritetnog bita s 6 ulaza. Ulazi u sklop su
Z120 Schematic sklopke razvojnog sustava (ukupno 7 sklopki=6 ulaz, 1 Nexys 3 3
paritet) a izlaz iz sklopa prikazivati pomoću LE diode.
Izraditi sklop koji pretvara ulazni 8 bitni Grayev kod u binarni
Z121 Schematic kod. Koristiti sklopke razvojnog sustava kao ulaze a LE diode Nexys 3 3
razvojnog sustava kao izlaze.
Izraditi sklop koji na ulaz prima 4-bitni binarni broj, i u
ovisnosti o odabranog kombinaciji pretvara u Grayev,
Z122 Schematic Nexys 3 5
BCD,XS-3 ili Aiken kod. Koristiti sklopke razvojnog sustava
kao ulaze a LE diode razvojnog sustava kao izlaze.
Izraditi sklop koji na ulaz prima 4-bitni binarni broj, i u
ovisnosti o odabranog kombinaciji pretvara u Grayev,
Z123 VHDL Nexys 3 3
BCD,XS-3 ili Aiken kod. Koristiti sklopke razvojnog sustava
kao ulaze a LE diode razvojnog sustava kao izlaze.
Izraditi sklop koji prikazuje 4- bitni AIKEN kod na 7
Z124 Schematic Nexys 3 4
segmentnom pokazivaču.
Dizajnirati tiskanu pločicu sklopa koji omogućuje prikaz 4-
Z125 Altium bitnog binarnog broja u dekadskom zapisu na dva sedam ∅ 3-5
segmentna pokaznika. (DIP sklopke postaviti kao ulaz)
Dizajnirati tiskanu pločicu 8 bitnog komparatora (DIP sklopke
Z126 Altium ∅ 3-5
ulazi, LE diode izlazi)
Dizajnirati tiskanu pločicu sklopa sinkronog brojila od 0 do
Z127 Altium 42. Vrijednosti prikazivati na dva sedam segmentna ∅ 3-5
pokaznika, pomoću sklopke omogućiti start-stop.
Dizajnirati tiskanu pločicu sklopa koji 4-bitni ulazni podatak u
Z128 Altium obliku Grayevog koda pretvara na izlazu u pripadajući binarni ∅ 3-5
zapis (DIP sklopke ulazi, LE diode izlazi) .
Dizajnirati tiskanu pločicu sklopa koji predstavlja trčeće
Z129 Altium ∅ 3-5
svijetlo koje se sastoji od 8 LE dioda.
Dizajnirati tiskanu pločicu sklopa 8-bitnog Johnsonovog
Z130 Altium brojila koje broji 64 puta manjom frekvencijom nego ∅ 3-5
ulaznom (LE diode koristiti za prikaz stanja)
Dizajnirati tiskanu pločicu 4-bitnog potpunog zbrajala (DIP
Z131 Altium ∅ 3-5
sklopke ulazi, LE diode izlazi).
Dizajnirati tiskanu pločicu 4-bitnog dvosmjernog posmačnog
Z132 Altium registra s paralelnim ulazom i izlazom (DIP sklopke ulazi, LE ∅ 3-5
diode izlazi).
Z133 Altium Dizajnirati tiskanu pločicu koja predstavlja semafor. ∅ 3-5
Dizajnirati tiskanu pločicu sklopa koji prikazuje 4-bitni XS-3
Z134 Altium ∅ 3-5
kod na 7-segmentnom pokazivaču.
Z135 Altium Dizajnirati tiskanu pločicu DC-DC naponskog pretvornika. ∅ 3-5
Dizajnirati tiskanu pločicu 16 kanalnog multipleksora
Z136 Altium ∅ 3-5
koristeći se osnovnim logičkim sklopovima.
Dizajnirati tiskanu pločicu 3 na 8 multipleksora koristeći se
Z137 Altium ∅ 3-5
osnovnim logičkim sklopovima.
Dizajnirati tiskanu pločicu sklopa asinkornog brojila od 5 do
Z138 Altium 39. Vrijednosti prikazivati na dva sedam segmentna ∅ 3-5
pokaznika, pomoću sklopke omogućiti start-stop.
Dizajnirati tiskanu pločicu sklopa koji redom aktivirati jedan
Z139 Altium ∅ 3-5
segment 7-segmentnog pokaznika počevši od segmenta „a“.
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z140 Altium dijagramom koristeći se D bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z141 Altium dijagramom koristeći se D bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z142 Altium dijagramom koristeći se D bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z143 Altium dijagramom koristeći se JK bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z144 Altium dijagramom koristeći se JK bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z145 Altium dijagramom koristeći se JK bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sekvencijalnog sklopa zadanog
Z146 Altium dijagramom koristeći se JK bistabilima (dijagram će biti ∅ 3-5
naknadno dodijeljen nakon odabira zadatka)
Dizajnirati tiskanu pločicu sklopa koji pomoću sklopki
Z147 Altium ∅ 3-5
upravlja s dvije RGB diode.
Dizajnirati tiskanu pločicu na temelju sheme. (Shema će biti
Z148 Altium ∅ 3-5
naknadno dodijeljena nakon odabira zadatka)
Dizajnirati tiskanu pločicu na temelju sheme. (Shema će biti
Z149 Altium ∅ 3-5
naknadno dodijeljena nakon odabira zadatka)
Izraditi sklop koji predstavlja semafor (RGB dioda) s
Z150 VHDL prikazivanjem vremena do slijedeće promjena (pomoću LE Nexys A7 4
dioda)
Izraditi sklop koji predstavlja semafor (RGB dioda) s
Z151 VHDL prikazivanjem vremena do slijedeće promjena (pomoću 7 Nexys A7 5
segmentnih pokaznika)
Izraditi sklop koji pomoću prekidača upravlja radom RGB
Z152 VHDL dioda, na 7-segmetnim pokaznicama potrebno je ispisati Nexys A7 5
trenutno aktivne boje.
Izraditi sklop koji prikazuje trenutnu sobnu temperaturu na
Z153 VHDL Nexys A7 5
7-segmentnim pokaznicama.
Izraditi sklop koji prikazuje trenutnu sobnu temperaturu
Z154 VHDL Nexys A7 4
skalirano na 16 LE dioda.
Izraditi sklop koji na temelju temperature upravlja
Z155 VHDL Nexys A7 5
intenzitetom svih 16 LE dioda.
Z156 VHDL Izraditi sklop 4 na 16 dekodera. Nexys A7 2

You might also like