You are on page 1of 6

Nuclear Instruments and Methods in Physics Research B 208 (2003) 21–26

www.elsevier.com/locate/nimb

LIGA process – micromachining technique using


synchrotron radiation lithography – and some
industrial applications
Yoshihiro Hirata *

Harima R&D Laboratories, Sumitomo Electric Industries, Ltd., 3-12-1 Kouto, Kamigori, Ako, Hyogo 678-1205, Japan

Abstract

We developed the LIGA (Lithographie or lithography/Galvanoformung or electroforming/Abformung or molding)


process using a compact synchrotron radiation (SR) source. To make a compact SR source applicable for the LIGA
process, we developed a highly sensitive resist and highly transparent mask for deep SR lithography. We commer-
cialized two devices, a piezoelectric composite and a microprobe for IC testing. A microconnector and optical switch
were also developed.
Ó 2003 Elsevier B.V. All rights reserved.

PACS: 07.10.C; 07.85.Q; 85.40.H


Keywords: LIGA; Synchrotron radiation (SR); Resist; Lithography; Piezoelectric composite; Contact probe

1. Introduction fabricated, and their accuracy (0.5 lm) is very


high. SR whose peak wavelength is between 1 and
The trend forwards the downsizing of mechan- 3A is used, so the diffraction is low and a structure
ical parts is increasing in many fields. Although the of fine width can be accurately fabricated. More-
size of mechanical parts is small, maintaining their over, the absorption is sufficiently low to pattern a
mechanical stiffness is necessary. Therefore, the thick resist structure.
thickness and the aspect ratio (height/width) of However, SR sources whose peak wavelength is
the microcomponents are required to be high. The between 1 and 3 A  are too large (circumference of
LIGA process, which is composed of synchrotron 50–100 m) for industrial application, and their
radiation (SR) lithography, electroforming and number is limited. On the other hand, the compact
molding, is one of the most promising microma- SR source (circumference of under 20 m) is easily
chining techniques (see Fig. 1) [1–4]. By the LIGA accessible. However, the intensity of SR from a
process, thick microcomponents (typically over compact SR source is less than 10% of that from
200 lm) with a high aspect ratio (over 10) can be the SR sources normally used for the LIGA pro-
cess. Therefore, a sensitive resist must be devel-
oped.
*
Tel.: +81-791-58-0659; fax: +81-791-58-0670. Even if a large SR source is used, a sensitive
E-mail address: hirata-yoshihiro@sei.co.jp (Y. Hirata). resist is also usable. Basically, in the LIGA process,

0168-583X/03/$ - see front matter Ó 2003 Elsevier B.V. All rights reserved.
doi:10.1016/S0168-583X(03)00632-3
22 Y. Hirata / Nucl. Instr. and Meth. in Phys. Res. B 208 (2003) 21–26

Fig. 1. Schematic view of the LIGA process.

mass production is realized by molding. Therefore,


the long SR exposure time has never been a serious
problem. However, the requirement for micro-
components, fabricated by SR lithography and
electroforming, is increasing. This is because the
metal parts are difficult to mass-produce using
molded polymer parts, and there is a limitation to
the size and aspect ratio that can be realized in the
molding process. Fig. 2. Chemical formula of PMMA.
By using the developed LIGA process, we
commercialized two devices, a piezoelectric com- the precision of the resist structure becomes infe-
posite and a microprobe for IC testing. A micro- rior with the latter.
connector and optical switch were also developed. Therefore, the sensitivity must be enhanced by
increasing the chemical reaction yields of the base
resin. The degradation of the PMMA by X-ray is
2. SR lithography initiated with the scission of the carbonyl group
(C@O) in the side chain from the main chain. As
2.1. Sensitive resist the OCH3 group bound carbonyl group in PMMA
changed to the OH group which is a stronger
Polymethyl methacrylate (PMMA) is usually electron withdrawing group, the binding energy
used as a resist for the LIGA process. The accu- between the main chain and the carbonyl group
racy realized by PMMA (see Fig. 2) is very good, decreased and the chemical reaction yield in-
but on the other hand, the sensitivity of 4 kJ/cm3 is creased. Therefore, the copolymer of methyl
very low. methacrylate (MMA) and methacrylic acid
In general, the sensitivity of the SR resist for (MAA) (see Fig. 3) was considered to give higher
ULSI fabrication is enhanced by increasing the chemical reaction yields than PMMA.
X-ray absorption or the chemical reaction yield We developed a co-polymer of MMA (95%)
by means of a chain reaction. By the former and MAA (5%) resist [5]. Its G-value (radiation-
technique, a thick resist cannot be patterned, and induced chemical yields per 100 eV of absorbed
Y. Hirata / Nucl. Instr. and Meth. in Phys. Res. B 208 (2003) 21–26 23

C H3 C H3 Consequently, a resist pattern made by this X-


ray mask has smooth side walls (roughness: 30 nm)
( C H2 C )m ( C H2 C )
n and high accuracy (0.5 lm in 40  20 mm2 area).
C O C O

OCH 3 OH 3. Applications
Fig. 3. Chemical formula of P(MMA-co-MAA).
3.1. Piezoelectric ceramics/polymer composite

energy) was 3.3. The G-value of PMMA was re- Medical ultrasonic diagnosis has been widely
ported as 0.84, and therefore a higher chemical used because of its negligible influence on patients
reaction yield was confirmed [2]. By selecting me- and its ability for blood flow measurement and
thyl isobutyl ketone (MiBK) as the developer, the real time measurements. To improve the resolu-
sensitivity of developed resist was 10 times higher tion, the replacement of the transducer material
than that of PMMA. Thus, the exposure time by from piezoelectric ceramics such as lead zirconate
compact SR is 1.5 h for the resist of 300 lm height titanate (PZT) to 1–3 piezoelectric composites, as
and 20 mm width. In addition, the gradient of the shown in Fig. 5, is a promising idea. However, by
side wall is very small, 1.6 mrad, and a precise the conventional dice-and-fill method, it is im-
resist structure can be realized. possible to fabricate PZT rods which are suffi-
ciently small to cause the composites to behave
2.2. Mask for SR lithography like homogeneous materials.
We applied the LIGA process, and made pi-
Fig. 4 shows the schematic view of the X-ray ezoelectric composites for high-frequency appli-
mask [6]. To transmit SR whose wavelength is cations industrially available for the first time [7].
between 1 and 3 A  efficiently, SiN of 2 lm thick- The realized PZT rod size is 25 lm, which is one-
ness is selected as a membrane. The SiN membrane fourth of the size realized by conventional meth-
is deposited by chemical vapor deposition. ods. Fig. 6 shows scanning electron microscope
The absorber is made of amorphous WN and (SEM) images of the PZT columnar array [8].
the thickness is typically 5 lm, because contrast The pulse width was recognized to be one-third
over 200 is required for deep SR lithography. The shorter and the sensitivity was three times higher
WN layer is sputtered. It is known that W films than those of the PZT probe. This composite was
deposited by sputtering have columnar structures adapted to the commercially available endoscope
(with grain size of about 0.2 lm). It results in the in 2001. The image became clearer, and the image
edge roughness of the absorber, thus we obtained of a deeper region can now be obtained while
amorphous WN by adding nitrogen to the argon maintaining high resolution. Furthermore, the
sputtering gas and realized an edge roughness frequency band is wider, which enables the selec-
under 0.1 lm. To suppress the side etching to less tion of several frequencies using only one ultra-
than 0.1 lm during electron cyclotron resonance sonic element; this contributes to the improvement
etching by SF6 , the substrate was cooled to below of the measurement performance of the probes.
)40 °C.

Absorber:WN
Membrane:SiN
Frame:Si

Fig. 4. Schematic view of the X-ray mask. Fig. 5. Schematic view of piezoelectric composite.
24 Y. Hirata / Nucl. Instr. and Meth. in Phys. Res. B 208 (2003) 21–26

normally 0.5–1 lm nickel crystals to 50 nm size


and by arranging crystal orientation to be in the
same direction, a material that is extremely high in
YoungÕs modulus and in elastic limit was realized.
Since the contact probe has an extremely minute
microstructure, material control at a nano level is
an issue of great importance.

3.3. Microconnectors

Microconnectors which require small insertion


force are developed. To realize a large positioning
Fig. 6. SEM image of the PZT columnar array (25 lm square, margin, the terminals and tapered guides are ar-
250 lm height). ranged cylindrically. These connectors are appli-
cable for the high density wiring of electronics
devices.
3.2. Microprobe for IC testing
We fabricated the terminals and guides using
SR lithography and electroforming to achieve high
The contact probe works by contacting to an IC
accuracy and a high aspect ratio. The cantilever
pad and then passing electrical test signals into and
terminals were fabricated using a sacrificial layer
out of the pad. As ICs become more integrated, IC
process. Fig. 8 shows the cantilever terminals and
pad pitches will become smaller, from 120–150 lm
guides. Tapered terminals and guides for smooth
to 90 lm or less, in the future. However, with
connection with the microconnectors were re-
conventional machining methods, the contact
quired. To realize them, structures made by SR
probe size cannot be made smaller.
lithography and electroforming were processed by
Using SR lithography and electroforming, a
microelectrodischarge machining. Fig. 9 shows the
contact probe that is sufficiently compact which
fabricated structures of tapered terminals and
can be used for narrow IC pad pitches and high-
guides [10]. For stable electrical contact under a
frequency ranges was developed. The contact
weak contact force (0.5 mN), the terminals are
probe is 30 lm square (see Fig. 7) [9]. The material
coated with 0.1 lm-thick electroplated Au. The
of the developed contact probe is an alloy of nickel
roughness of the Au surface is less than 30 nm
and manganese. This material can be used under a
(Ra).
maximum temperature of 150 °C. By miniaturizing

Fig. 8. SEM image of the female connector with cantilever


Fig. 7. SEM image of the microcontact probe. terminal.
Y. Hirata / Nucl. Instr. and Meth. in Phys. Res. B 208 (2003) 21–26 25

spacer
electrode cantilever Si substrate

platform LIGA
mirror

Fig. 10. Schematic view of the optical switch.

Fig. 9. SEM image of the male connector with tapered termi-


nal.

The upper limit of current is 150 mA and the


breakdown voltage is 370 V (DC) at atmospheric
pressure in air. These connectors can be used over
1000 times.

3.4. Optical switch


Fig. 11. SEM photograph of the optical switch. Cantilever
width: 150 lm; thickness: 5 lm; mirror height: 125 lm.
As the information traffic volume is increased
dramatically, the optical network is expanding.
Many switches are required at the node, so the
switching system becomes larger and more ex- 0.1°, therefore the reflection loss is very low ()0.41
pensive. It is a major bottleneck hindering the dB at the wavelength of 1.55 lm). In addition, the
growth of the information traffic volume. The pitch can be narrower than that in the case of
optical micromechanical switch is now recognized other types of optical switches.
as an effective solution to this problem. The size is
greatly reduced, and the switching speed becomes
faster when using the optical micromechanical 4. Conclusions
switch. Furthermore, the switches are made by a
batch process, and thus the array of switches can We developed SR lithography for a compact
be easily fabricated and the cost is expected to be SR source and applied it to the commer-
low. cialization of piezoelectric composite ultrasonic
We developed an optical switch composed of a transducers and a microprobe for IC testing. We
ribbon-like electrostatic actuator and the LIGA also developed a microconnector and an optical
mirror [11]. The ribbon-like actuator is curved switch.
downward from the substrate by the induced stress We consider that one of the most important
by electroforming. Fig. 10 shows the schematic points in order to realize the industrialization of
view of the switch unit and Fig. 11 shows the LIGA applications is the reduction of the process
switch. cost, particularly for manufacturing metallic parts.
A large mirror stroke (150 lm) and low driving It is also important to reduce the cost for fabri-
voltage (22 V) are achieved simultaneously. The cating a 3D structure. We are making efforts to
switching time is only 1.3 ms. The mirror surface is solve these problems in order to make many LIGA
very smooth and its vertical angle offset is less than applications available industrially.
26 Y. Hirata / Nucl. Instr. and Meth. in Phys. Res. B 208 (2003) 21–26

References [7] Y. Hirata, H. Okuyama, S. Ogino, T. Numazawa, H.


Takada, in: Proc. IEEE MEMSÕ95, Amsterdam, The
[1] H. Takada, Y. Hirata, H. Okuyama, T. Numazawa, Electr. Netherlands, 30 January–2 February 1995, p. 191.
Eng. Jpn. 120 (1) (1997) 40. [8] Y. Hirata, H. Nakaishi, T. Numazawa, H. Takada, in:
[2] J. Mohr, W. Ehrfeld, D. Munchmeyer, J. Vac. Sci. Proc. IEEE Ultrason. Symp., Toronto, Canada, 5–8
Technol. B 6 (6) (1988) 2264. October 1997, p. 877.
[3] D. Munchmeyer, J. Rangen, Rev. Sci. Instr. 63 (I) (1988) [9] T. Haga, K. Okada, J. Yorita, Y. Hirata, S. Shimada,
713. in: Proc. of ICEP (International Conference on Electron-
[4] W. Ehrfeld, D. Munchmeyer, Nucl. Instr. and Meth. A 303 ics Packaging), Tokyo, Japan, 17–19 April 2002,
(1991) 523. p. 421.
[5] T. Numazawa, Y. Hirata, H. Takada, Microsyst. Technol. [10] T. Haga, H. Okuyama, H. Takada, Microsyst. Technol. 6
2 (1996) 46. (2000) 157.
[6] H. Okuyama, Y. Hirata, H. Takada, Microsyst. Technol. 7 [11] Y. Hirata, K. Miura, T. Numazawa, in: Proc. of 19th
(2001) 80. Sensor Symp., Kyoto, Japan, 2002, p. 355.

You might also like