You are on page 1of 13

3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

blog course Library · Mall Q&A Community plugin Certification open source log in Register Member Centre footprint dynamic

search

Solutions to common errors and warnings in Cadence Vi


rtuoso IC617
A piece of chicken slag Posted at 2021-09-03 17:32:26 4388
Favorites 45 copyright

Category column:
Cadence Virtuoso IC about
Article tags:
hardware
chip
simulation

Cadence Virtuoso I… The column contains this content 213 subscriptions 15 articles Subscribe to

the column

foreword
This article is my own study notes, which belong to the advanced part of the Cadence Virtuoso series. The
software version used is Cadence Virtuoso IC617. For other articles, please click above to see the content of
the Cadence Virtuoso column I produced.

This article documents the workarounds for errors and warnings I encountered in the Cadence Virtuoso IC617.

Licensing ErrorError
Problem Description
Use the Linux virtual machine made by others, Ubuntu20.04 system, integrate Cadence IC617, Spectre18,
Calibre19, XCELIUMMAIN 18, open it and use it directly. That is the virtual machine in the link below.

Install Cadence IC617 under Ubuntu20.04

However, when drawing the layout, an error is reported when the DRC verification is performed. The specific
error is the following code.

1 Licensing Error

2 The following products could not be licensed sufficiently:

3 - Calibre Interactive

The screenshot of the error report is as follows.

It can be seen that there is mainly a problem with the license of Calibre2019.

Solution
Because the virtual machine installed by others is directly used, the entire activation must be no problem. The
problem lies in the virtual network card address, because VMware Workstation will reinstall the virtual network
card driver when importing the virtual machine. The solution is very simple, just modify the network card address
in the license file.

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 1/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

In the Ubuntu20.04 system I am using, the license file of Calibre2019 is located at the following address.

Double-click to open the license file. It can be seen that the virtual network card address is still the author's own.

Right click to open the console.

Enter ifconfig, find the network card address, and copy it.

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 2/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

In the text editor, choose Find and Replace.

Replace the original network card address with your own. Note that the network card address must remove
the colon !

Finally, don't forget to click Save.

Final Results

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 3/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

Finally, when you enter Calibre2019, you will no longer report errors, and you can perform DRC detection.

The built-in craft library disappears error


Problem Description
Sometimes after installing some craft libraries, the built-in craft library will disappear, this is because the project
file does not include the built-in craft library. The built-in technology library generally includes basic and
analogLib, and sometimes cdsDefTechLib is missing.

Solution
When the Virtuoso IC617 is turned off, open the cds.lib in the project directory to see which line of code is
missing, and make up for the missing one.

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 4/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

code show as below:

1 DEFINE cdsDefTechLib $CDSHOME/tools/dfII/etc/cdsDefTechLib


2 DEFINE basic $CDSHOME/tools/dfII/etc/cdslib/basic

3 DEFINE analogLib $CDSHOME/tools/dfII/etc/cdslib/artist/analogLib

Final Results
Save the file after modification, reopen the Virtuoso IC617 software, and the built-in library is back.

CDB to OA
Problem Description
After the Virtuoso IC610 version, only the OA library is supported. For some earlier process libraries, the design
will not be completed.

Solution
First create a folder to store the converted craft library. I named it here, with a suffix oa added to the original
folder name.

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 5/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

In this newly created oa folder, open the software and create a new project.

The process library can be updated by using the conversion tool that comes with the software. Use the
Conversion Toolbox tool.

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 6/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

Select the first transformation.

Just select the folder of the craft library and click Apply.

The time will be a bit long, if you can't wait to turn around, click ok. Just look at the log window and it's done.

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 7/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

There are many converted files in the OA folder.

In the original file, copy the framed ones to the oa folder, and the rest are optional. Do not copy the crossed
ones.

Final Results
After the conversion is complete, the library can be imported, and the library can be supported by the software.

Compilation Error
Problem Description
A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 8/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

When extracting layout parameters (PEX), the following error will be reported. Compilation Error : Error while
compiling rules file.

This is because when the manufacturers make the craft library, the address placed in their craft library is
inconsistent with the address placed by us. According to the error message, it can be seen that the address in
line 838 of the xrc file is wrong.

Solution
In fact, all three addresses are wrong, you can modify them according to your own file address. Pay special
attention to what the arrow points to. Some Linux systems have a user name folder under the home directory
before reaching the user file, so be sure to add it.

Final Results

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 9/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

Run the layout parameter extraction, you can see that it has been solved.

Fatal Error
Problem Description
When extracting layout parameters, click run PEX, and an error message will pop up. The error message is:
Calibre View generation encountered a fatal Error.

I have found many ways in the forum, including:

1. Add in environment variables: MGC_FDI_OA_VERSION=22.50

2. Set shared folder: LD_LIBRARY_PATH

3. Replace the latest version of Calibre

However, I don't feel like the problem is where I'm going wrong as I don't have any errors in my calview.log file.

Solution
Eventually I found a way to add another class of environment variables. Open the console on the desktop and
enter the following code:

1 sudo gedit /etc/profile

A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 10/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

After entering the password, the interface for adding environment variables is opened.

Add the following environment variables and save.

1 export CALIBRE_ENABLE_SKILL_PEXBA_MODE=1

Just restart the virtual machine.

Final Results
The layout parameters can be extracted normally.

Cadence - Introduction to using virtuoso 10-04


Cadence - Introduction to using virtuoso

Cadence使用中的一些错误提示的解决 zhiliu的专栏
2万+
文章记录自己在使用Cadence时,遇到的一些错误提示,以及自己摸索的解决办法。会陆续更新!

评论 4
您还未登录,请先
登录
后发表或查看评论

...电路设计中的电流镜及用Cadence Virtuoso IC617设计... 2-21


本文为我自己的学习笔记,属于Cadence Virtuoso系列的进阶部分,采用的软件版本是Cadence Virtuoso IC617。其他文章请点击…

calibre 仿真超细教程,candence没有那么难 12-15


超细的CADENCE后仿真,calibre教程,手把手教会你如何后仿真

Cadence Virtuoso IC617从原理图建立器件和生成版图 weixin_44115643的博客


5729
Cadence Virtuoso IC617从原理图建立器件和生成版图

Cadence Virtuoso IC617从版图提取寄生参数进行后仿真 weixin_44115643的博客


2967
Cadence Virtuoso IC617从版图提取寄生参数进行后仿真

IC617工艺库安装问题 Carol0630的博客
454
关于Cadence环境配置的两个文件.cdsinit和.cdsenv, 在模拟集成电路设计流程(一)中大家已经熟悉了,只是关于这两个文件的使…

版图DRC The following products could not be licensed sufficiently:- Calibre Interactive chaihanlin的博客
541
解决Centos 7 IC618 Calibre license的问题
两个方案

安装cadence软件到使用过程中遇到的问题和解决方法 YYP_8020的博客
9611
问题一:Error* The default SKILL generic function has not been defined for the function “asiEnvGetVar”. Ensure that this funct…

最全的 cadence 元器件库详细说明 热门推荐 young honker


3万+
Ieee文件夹   ieee_百度百科
美国电气和电子工程师协会(IEEE)是一个国际性的电子技术与信息科学工程师的协会,是世界上最…

cadence IC617安装中遇到的问题一 m0_49145038的博客


1831
第一次写博文,本文主要提供本人解决问题时用到的链接。首先本人是在ubuntu20.04下进行操作的。安装包下载及安装的主要…
A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 11/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

cadence IC617中工艺库的安装 qq_32174665的博客


1万+
本文主要讲述了本人在使用自己的电脑以虚拟机运行IC617安装工艺库PDK到一系列的问题,以及相关的总结。主要为了方便有…

Cadence使用中遇到的错误及解决办法(更新) 踏雪的专栏
3万+
Cadence使用中遇到的错误及解决办法(更新)
【目录】
1、软件打不开(OrCAD Capture CIS 或 Model Editor 界面不显示)…

用Cadence Virtuoso IC617仿真V-I特性曲线 weixin_44115643的博客


6338
用Cadence Virtuoso IC617仿真V-I特性曲线

Cadence 学习笔记 - Capture CIS 篇 (ERROR (ORCAP - 1228) ) 善若水,朝心流的博客


1万+
关于update  cache   如果画原理图过程中,修改了库元件,放置修改后的元件会出现如下警告:
ERROR (ORCAP - 1228) : Pa…

cadence virtuoso画版图提示LUP.6错误 最新发布 凳子花❀的博客


188
最近通过cadence画这个电路版图时遇到了latch up的错误,具体错误如下:
LUP.6 ( @ Any point inside NMOS source/drain s…

Analoglib中器件介绍 06-25
Analoglib中器件介绍 Analoglib中器件介绍 Analoglib中器件介绍

关于analog LVDS的verilog model 及 工艺 lib 临渊羡鱼


1365
前段时间接触到LVDS相关的一个任务,关于LVDS 中 tx 部分编写verilog及lib文件,现在整理一下该任务的一些细节:
首先说…

Cadence Virtuoso IC617的启动和新建工程 一直在路上的Tom的博客


214
前言 Cadence是一家专门从事电子设计自动化(EDA)的软件公司,其开发的许多软件早已成为行业内最常用的软件。Caden…

2019-04-03-Virtuoso-Virtuoso安装配置及使用 caseword的博客
5717
System environment: Windows10, Python 3.6.4 , openlink virtuoso 7.2
The latest version of virtuoso is version 8.2, but the late…

©️2022 CSDN Skin Theme: 1024 Designer: My name is Bai Xiaopang Return to the home page

about Business seek 400-660- online Working hours 8:30-


Careers kefu@csdn.net
Us Cooperation coverage 0108 service 22:00
Public security record number 11010502030143 Beijing ICP No. 19004658 Beijing Net Wen [2020] No. 1039-165 Operating website filing information
Beijing Internet Illegal and Bad Information Reporting Center parental supervision Network 110 Alarm Service China Internet Reporting Center
Chrome store download ©1999-2022 Beijing Chuangxin Lezhi Network Technology Co., Ltd. Copyright and Disclaimer Copyright complaint
Publication license business license

A piece of chicken slag


Code age 3
No certificatio…

39 8424 20,000+ 100,000+


original Weekly Overall access grade
Rank ranking

1192 1062 267 193 1216


integral fan Liked Comment collect

Private letters focus on

Search blogger articles

popular articles

Using Cadence Virtuoso IC617 combined


with gm/id method to design two-stage op
amp (five-tube OTA plus common source)

8791

Using Cadence Virtuoso IC617 to simulate


VI characteristic curve

6232

The gm/id design method in the design of


analog CMOS integrated circuits and the
simulation of related parameter curves with
Cadence Virtuoso IC617

5877

Add a navigation bar to Android Studio's


own Bottom Navigation Activity template

5931

Cadence Virtuoso IC617 Builds Device


and Layout from Schematic

5627

Category column

Cadence Virtuoso IC … 15

articles

CST (CST Studio Suit… 1

article

HFSS (ANSYS Electr… 5

articles

ADS (Advanced Desi… 15

latest comment
A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 12/13
3/16/22, 10:57 PM Solutions to common errors and warnings in Cadence Virtuoso IC617 - Programmer Sought

Using Cadence Virtuoso IC617 combine…


Zhou_: I want to ask this too, do you have
an answer? ...
Design of Active Load Differential Pair (F…
Zhou_: Hello, I would like to ask where to fi
nd the aspect ratio of M1 and M2. How to...c

ADS (Advanced Design system) import …


Zhou_: Hello, I would like to ask to make s
ure that the width and length ratios of M1...a

Simulation of VI characteristic curve with…


lemonisan: Did you solve it? I added it man
ually, but the values ​simulated later are all
...
Simulation of Current Mirror in CMOS Int…
Feng+: The tail current source I designed i
s not saturated. ...

Would you like to recommend the

Blog Detail Page to a friend?

strongly Not so so recomme highly


not recomme nd recomme

latest articles

CST (CST Studio Suite) build project and


basic drawing method

HFSS (ANSYS Electronics) and ADS


(Advanced Design system) co-simulation

Cadence Virtuoso IC617 extracts parasitic


parameters from layout for post-simulation

2 articles in 2022 33 articles in 2021

4 articles in 2020

content

foreword

Licensing ErrorError

Problem Description

Solution

Final Results

The built-in craft library disappears error

Problem Description

Solution

Final Results

CDB to OA

Problem Description

Solution

Final Results

Compilation Error
A piece of chicken… focus on 9 4 45 Column Directory

https://blog.csdn.net/weixin_44115643/article/details/120081688 13/13

You might also like