You are on page 1of 50

Ion Implantation

Introduction
•The biggest limitation of thermal diffusion is that the process is isotropic i.e.
lateral diffusion cannot be avoided.
•This is especially important for doping small regions (due to device
miniaturization).
•Doping control is also difficult to achieve due to presence of concentration
gradients.
•These gradients will change in subsequent annealing steps.
Introduction
•Ion implantation is a relatively newer doping technique that operates close to
room temperature
•It is a physical process of doping, not based on a chemical reaction
•Since ion implantation takes place close to room temperature, it is compatible
with conventional lithographic processes, so small regions can be doped
•Also, since temperature is low, lateral diffusion is negligible
•Ion implantation is used mostly for doping of silicon in VLSI processing.
•Ion implantation provides a technique by which the dose of implanted dopants
can be precisely controlled.
Introduction
•Before ion implantation, doping is achieved by diffusion into the bulk silicon
from gaseous source above surface, or pre-deposited chemical source on wafer
surface.
•This approach lacks the flexibility and control required by CMOS processing, and
ion implantation quickly gained popularity for the introduction of dopant atoms.
•Modern ion implanters were originally developed from particle accelerator
technology. Their energy range spans 100eV to several MeV (a few nms to
several microns in depth range). The implantation is always followed by a
thermal activation (600-1100oC).
Introduction
• A gas is ionized, and the ions are accelerated by a high electric field, and
injected into the target wafer to hundreds of nm depth.

Typical ion implantation parameters:


Ion: P, As, Sb, B, In, O
Dose: 1011 - 1018 cm-2
Ion energy: 1 - 400 keV
Uniformity and reproducibility: ±1%
Temperature: room temperature
Ion flux: 1012-1014 cm-2s-1
Introduction
•In Ion implantation, ionized impurity atoms accelerated through an electrostatic
field, strike the surface of the wafer.
•By controlling the electrostatic field, the penetration depth of the impurity ions can
be controlled.
•Typical ion energies range from 5 to 200 keV.
•Dose can be tightly controlled by measuring the ion current.
•Dose range:
• very light implants – 1011cm-2
• Low resistance regions such as S/D contacts, emitters and buried collectors – 1016cm-2
Introduction
•The ion energies can be in the range of a few 100s of eV to MeV.
•In a crystalline silicon lattice, the energy required to dislodge atoms from their
lattice sites and create a stable vacancy – interstitial pair is 15 eV.
•This means that each implanted ion would cause damage to the crystalline
structure. Hence the silicon as implanted would not be very useful.
•Typically the damage has to be annealed out and the implanted dopants activated
by high temperature thermal processing subsequent to implantation.
•Each ion entering the target material would go through a series of events which
would result in loss of ion energy and the ion would eventually come to rest. These
events are random in nature.
Advantages of ion implantation
•Very precise dose control.
• The ion implanter forms a simple electrical circuit. By monitoring the current in the
circuit (or by a monitoring circuit with Faraday cups), significant accuracy in the
implanted dose can be maintained. Assuming a current sensitivity of nA, and a
minimum required implantation time of 10 seconds, it can be shown that doses as
low as 1011cm-2, can be measured.
•On the contrary, in chemical source predeposits, dose values less than
5x1013/cm2 are not achievable.
•High dose introduction is not limited to solid solubility limit values.
•Excellent doping uniformity is achieved across the wafer (< 1% variation across
12” wafer) and from wafer to wafer.
Advantages of ion implantation
•Less dopant lateral diffusion, good for
small device (short channel).
•Done in high vacuum, it is a very clean
process step.
•Besides precise dose control, one can also
control the profile (peak depth and
spread range) better than diffusion (peak
concentration always near surface).

(top) Doping by diffusion and “drive-in”.


(bottom) Doping by ion implantation with or without “drive-in”.
Advantages of ion implantation
•Low-temperature process (can use photoresist as mask)
•Wide selection of masking materials, e.g. photoresist, oxide, poly-Si,
metal
•Less sensitive to surface cleaning procedures.
•Very fast (6" wafer can take as little as 6 seconds for a moderate dose)
•Complex profiles can be achieved by multi-energy implants.
Disadvantages of ion implantation
•Very expensive equipment ( $1M or more).
•At high dose values, throughput is less than diffusion (chemical source
pre-deposition on surface).
•Ions damage the semiconductor lattice. Not all the damage can be
corrected by annealing.
•Very shallow and very deep doping are difficult or impossible.
•Masking materials can be “knocked” into the wafer creating unwanted
impurities, or even destroying the quality of the interface.
Application of ion implantation in CMOS fabrication

9-10 different implantations!


12
Ion Implantation System
•Ion implantation equipment typically consists of :
• an Ionization chamber, where ions of the desired element are produced,
• an accelerator, where the ions are electrostatically accelerated to a high energy, and
• a target chamber, where the ions impinge on a target, which is the material to be
implanted.
•Each ion is typically a single atom, and the actual amount of material implanted in
the target is the integral over time of the ion current. This amount is called the
dose.
•The currents supplied by implanters are typically small (microamperes) and thus the
dose which can be implanted in a reasonable amount of time is small.
• Thus, ion implantation finds application in cases where the amount of chemical
change required is small.
Ion Implantation System
•An ion implanter is a high voltage particle accelerator producing a high velocity
beam of ions which can penetrate the surface of silicon target wafers
•Components:
• Ion Source
• Mass analyser
• High voltage accelerator
• Scanning system
• Target chamber
Ion Implantation System
Schematic of an ion implanter

• Ion source: operates at a high voltage(25kV) and convert the electrically


neutral dopant atoms in the gas phase into plasma ions and undesired
species. Some sources: Arsine, Phosphine, Diborane, … Solid can be sputtered
in special ion sources.
• Mass spectrometer: a magnet bend the ion beam through right angle, and
select the desired impurity ion and purge undesired species. Selected ion
passes through an aperture.
• Accelerator: add energy to beam up to 5MeV. (contained, to shield possible x-
ray).
• Scanning system: x and y axis deflection plates are used to scan the beam
across the wafer to produce uniform implantation of desired dose. The beam
is bended to prevent the neutral particles from hitting the target .

16
Schematic of an ion implanter

17
1.Ion Source

• Dopant ions such as boron, phosphorus or arsenic are created from a gas source, so that
the purity of the source can be very high but are highly toxic
• Ion source starts with a feed gas that contains the desired implant species. Common feed
gases used in silicon technologies are BF3 ,AsH3 and PH3
• If the desired implant species is not available in the gaseous form, a solid charge can be
heated and the resultant vapor used as the source.
• Then the feed gas is passed in a region of very low pressure that is maintained between a
heated filament and a metal plate
• The filament is maintained at a large negative potential w.r.t. plate.
• Electrons boil off the filament and are accelerated towards the plate.
• As they do so, they collide with the gas feed molecules, transferring some of their energy
to BF3 , for example, breaks up into B++, B+ , BF+ , BF2 + , F+ and a variety of other species
in varying quantities

18
Plasma ion source and ion extraction

(extraction)

Variable extraction voltage Positive ions are attracted to the exit side of
(typically 30KV ) the source chamber, which is biased at a large
negative potential with respect to the filament.

• Filament emits electrons, which are accelerated to gain enough energy.


• The electrons collide with the molecules or atoms, and ionize them.
• The ions are extracted, rough-focused, then travel toward the magnetic analyzer.
19
2. Mass Separation stage/ Analysing Magnet

• The ions are accelerated using electrostatic fields


• Now, to separate i.e. select the various kinds of ions that are present in the output of the
ion source, a mass separation stage is used
• • The principle used is the use of the different charge-to-mass ratios of these ions by a
magnetic field.

20
2. Mass Separation stage/ Analysing Magnet

• The magnetic field of the analyzer is chosen such that only ions with the desired charge to
mass ratio can travel through without being blocked by the analyzer walls.
• A magnetic field B is applied normal to the path of ions with charge q and velocity v
• The force on the ions is given by F=qvB and the ions move in a circular path of radius r and
there is a centripetal force
• F=M v2/R
• Therefore, qvB= M v2/R [velocity v is unchanged]
• And R = Mv/qB ------------------------------------(1)
• Now for an ion accelerated through a potential Vext the velocity is given by:
• M v2 /2 = qVext , from where we get v as:

21
For a given acceleration potential and magnetic flux density, the radius of the ion path is
directly proportional to the square root of the mass-to-charge ratio.
2. Mass Separation stage/ Analysing Magnet

• The ions coming out of the analyzer through the aperture can be further accelerated to the
required energy.
• The ion beam is subsequently focused on to the wafer.
• The ion beam is then raster scanned over the wafer for implantation.
• It can be appreciated that due to the raster scan process, the throughput of ion implanters
would be low.
• Some of the ions on the way from the analyzer may get neutralized.
• The neutral species can not be subjected to deflections using electric or magnetic fields for
raster scan.
• So a neutral trap is used to eliminate from the beam.
• The neutral trap deflects the beam slightly by using an electric field.
• Only ions would be deflected and the neutral atoms would be removed from the beam.

24
3
Beam Current (Dose) Measurement
•The number of ions implanted on the wafer can be measured using a charge
measurement system like an electrometer.
•The ions would be neutralized upon implantation and this would result in a
current out of the wafer through the backside.
•The measured current can be integrated to find the charge implanted on the
wafer.
•This can be used to find the number of ions implanted on the wafer per unit
area. The number or ions implanted per unit are is called the implant dose.
Ion Stopping
32
33
Dopant (impurity) concentration profile
• Gaussian distribution for first order
The impurity is shown implanted completely
approximation.
below the wafer surface (x=0).
• Rp= projected range, is a function of ion
energy and mass, and atomic number
of impurity as well as target material.
• Rp = straggle = standard deviation.
• Np = peak concentration at x=Rp.
• Dose Q=N(x)dx=(2)1/2Np Rp.
In textbook, C is used for concentration, to
replace N used here.

35
Example

36
Example calculations

37
Implantation Damage -
Degree of Damage
□ Light Ions :Most energy
loss is due to electronic
collisions→ little damage,
most damage occurs
near final ion position
□ Heavy Ions:
Most energy loss is due to
nuclear collisions → heavy
damage
● In ion implantation, since the wafer surface is impacted by high energy ions, it
can cause damage by knocking Si atoms from their position, causing local
structural damage.
● This needs a post thermal annealing treatment to repair the damage.
● There are two ways of doing this.

1. Tube furnace - low temperature annealing (600-1000°C). To minimize lateral


diffusion.
2. Rapid thermal annealing - higher temperatures are possible but for
shorter times.
Annealing - Definition

⮚ Process of repairing implant damage (i.e., “healing” the surface)


is called annealing.
⮚ Also puts dopant atoms in substitutional sites where they will be
electrically active.
⮚ Success of annealing measured in terms of fraction of the dopant that is
electrically active .
⮚ Challenge: To repair damage & activate dopants while minimising diffusion
so that shallow implants remain shallow.
Annealing - Basic Concept
Repaired Si lattice structure and
Ion Beam activated dopant-silicon bonds

Residual
Defects

a) Damaged Si lattice during implant b) Si lattice after


annealing
Annealing- Basic concept

Lattice Atoms Dopant Atom


Annealing- Basic concept

Lattice Atoms Dopant Atom


Annealing- Basic concept

Lattice Atoms Dopant Atom


Annealing- Basic concept

Lattice Atoms Dopant Atom


Annealing- Basic concept

Lattice Atoms Dopant Atoms


Ion Implantation - Advantages

1. Good control of dopant concentration


2. Excellent dopant uniformity
3. Precise control of dopant depth
4. High purity of dopants
5. Low temperature processing
6. Can implant dopants through films
7. Highly abrupt junctions can be made
8. Complex doping profiles can be made using multiple
energy implants
Drawbacks of Ion Implantation

⮚ Incident ions damage semiconductor lattice.


⮚ Complete repair may not be possible.
⮚ Very shallow/deep profiles difficult/impossible (implant
depth limited to 1 micron).
⮚ Low throughput (involves single wafer processing).
⮚ Equipment very complex, expensive and high
maintenance cost.
⮚ Often uses extremely toxic gases such as Arsine and
Phosphine.
Ion Implantation System

You might also like