You are on page 1of 4
Journal of the Korean Physical Society, Vol. 35, July 1999, pp. $395~$398 Fabrication of 4H-SiC Schottky Bai High Breakdown 1 Diodes with oltages Byung Hoon Kum, Soo Chang Kana and Moo Whan SHIN Department of Ceramic Engineering and Electronic Materials Research Center, Myongyi University, Yongin 449-728 Jong Dae Park Department of Electronic Engineering, Myongji University, Yongin 449-728 ‘This paper discusses the fabrication and the breakdown character of AH-SiC Schottky barrier diodes (SBDs). Optimal processing conditions for the ohmic contacts were extracted using the transmission-line method (TLM) and were applied to the device fabrication. ‘The Ti/4H-SiC SBDs with SigNy passivation showed a maximum reverse breakdown voltage of 268 V with a forward ccurtent density as high as 70 mA/em? at a forward voltage of 2 V. ‘The breakdown of the PU/aH- SiC SBDs without any passivation occurred at near 110 V. It is concluded that the breakdown ‘enhancement in the Ti/4H-SiC SBDs can be attributed to the passivation; otherwise, excess surface charge near the edge of the Schottky contact would lead to clectrc fields of suficient magnitude to ‘cause field emission I. INTRODUCTION SIC has been given significant attention as a poten- tial material for high-frequency, high-power, and high- temperature applications due to its unique electrical and thermal properties. ‘These properties include a high elee- tric field at breakdown (2x10° V/em), a high electron velocity (2%10" em/see), a large band gap (2. 86 eV for 6H and 3.2 eV for 4H), and a high thermal conduetivity (4 W/K cm) [1]. In particular, the extremely high erit- ical electric field of SiC makes it a prime candidate for high-voltage applications, such as high-power rectifiers Rectifiers utilize SBDs to suppress high-voltage tran- sients induced on the power line during current switching, For a negligible dissipation of power during the switch- ing, the reverse current transient of the SBD must be suppressed, maintaining a high reverse voltage without breakdown. However, the breakdown voltage of com- mercially available Si SBDs is limited to about 120 V. This limitation on the breakdown voltage in devices fab- ricated from Si stems from its inherent material prop- erties, such as band gap (1.12 eV), critical electric field {8%10° V/cm), and thermal conductivity (1.5 W/K em) [1]. These properties are clearly inferior to those of SiC, as were described above. It is widely believed that SiC can replace Si in the commercial matket of power SBDs in the near future. For this reason, there have been sev- eral reports on the design and the fabrication of a SiC SBD to achieve its theoretical breakdown voltage (2) In particular, a large number of edge-termination tech- niques have been investigated due to the lack of a reliable planar diffusion method for SiC (2,3). However, the sur- face passivation associated with gate leakage and hence, with the breakdown voltage needs more investigation in several aspects [4]. ‘The processing techniques can be directly applied to other type of SiC devices. In this pa- per, we report on the processing of a 4H-SiC SBD and its electrical characteristics. We utilized SigNy layer for passivation on the surface of the diode and compared its characteristics with those of bare samples without passi- vation TI. EXPERIMENTS Prior to the fabrication of the SBDs, preliminary ex- periments were carried out to determine the optimal an- nealing conditions for the ohmic contacts. Ni was used for the ohmic metal and was deposited on a cleaned SiC surface by electron-beam evaporation in vacuum. ‘The specific contact resistance was extracted by using the transmission-line method (‘TLM) {5] and rectangular con- tact pads. The length and the width were 200 jum and 70 um, respectively. ‘The distances between the contact pads were in the range from 200 jum to 700 pm. The samples were annealed under an Ar atmosphere for various an- nealing times and temperatures. The contact resistance was obtained by using a the four-probe I-V measurement system (HP 4140B). The Ni/SiC interface was observed by using scanning electron mieroscopy (SEM) and trans- “S395. 8396. Passivation layer (SuNy)] TS contact (300 sm di 1° Epi - Layer IN Substrate (4H. SiC) sao A NiObmie contact (annealed at 1000, Fig. 1. Scheme of the cross-section of a SiC Schottky bar- rier diode. mission electron microscopy (‘TEM). The composition of the deposited and the annealed Ni films and of the inter- face region was studied by Auger electron spectroscopy (ABS), SiC SBDs were fabricated on N-type 4H-SiC epilay- exe with a doping concentration of 1.5x10!8/cm® and a thickness of 10 um deposited on a heavily doped sub- strate. Samples were degreased and etched by a series of cleaning processes (2), followed by blanket evapora- tion of a Ni layer with a thickness of 3000 A on the backside of the heavily doped substrate to form a large- area ohmic contact, After the samples we in a tube furnace under an argon atmosphere, circular Ti and Pt SBDs with a diameter of 300 jm were fab ricated by a standard photolithography process comparison, the wafer was divided into two sets: one set of samples with Ti as a Schottky metal were passivated by SiNy deposited by plasma-enhanced chemical vapor deposition (PECVD) and the other set of samples with Pt as a Schottky metal were fabricated without any pas- sivation. Figure 1 shows a schematic cross section of the passivated SBD. Current-Voltage (I-V) measurements for the diodes were performed using a Sony Tektronix 870 programmable curve tracer. annealed Por a IIL RESULTS AND DISCUSSION The as-deposited Ni contacts had rectifying I-V char- acteristics, After annealing at temperatures higher than 550 °C, the EV characteristics became ohmic. The con- tact resistance values were extracted from a careful mea surement on the TLM patterns. Figure 2 shows the I-V. characteristics of samples annealed at different temper atures for 30 min. under an argon atmosphere. The contact resistance decreased with the annealing tempera- ture, The SEM micrographs shown in Figure 3 reveal the Journal of the Korean Physical Society, Vol. 35, July 1999 CURRENT(A) VOLTAGE) Fig, 2. Current-voltage characteristics of Ni/SiC contact after annealing at several temperatures under the Ar atmo- sphere. The resistance is decreased as the annealing temper: ature is increased. ©) Fig, 3, SEM micrographs of Ni/SiC interface after anneal ing at (a) 750 °C and (b) 1030 °C evolution of the microstructure of the Ni/SiC interface as the annealing temperature is increased. The average size of the silicide islands (NiSiz as verified by AES analy- sis) is reduced and the connectivity between Ni and SiC is improved with annealing temperature, which leads to tan improved contact resistance. ‘The contact resistivity was caleulated by multiplying the contact resistance by Fabrication of 4H-SiC Schotthy Barrier Diodes with High Breakdown --. Byung Hoon KUM et al $307. ‘Table 1. Distribution of reverse breakdown voltages of Ti FRWOVOTAEM SiC Schottky barrier diodes. » 265, Sample Breakdown Sample Breakdown to] PASC Saco Ota 0 voltage (V)___d) voltage (V) #5 T = 4 257 2 128 15 205 4: 3 209 16 120 2 = 4 ~ 17 200 = 5 aes i is 3 * 6 230 19 24 ° 1 - 20 - © 8 = 1 = z Iyetov 9 no 2 184 = 10 15 23 198 n 110 m4 370 + 2 - 25 207 * cs + 7 13 as 6 170 ReMRSEVCLTACE Fig. 5. Forward and reverse current-voltage characteristics for the Pt Schottky barrier diode without any passivation FORMRDVOLTCEN) ayer & CURRENTOENSTY om *) 8 ol REVERSE VOLTAGE) Fig, 4. Forward and reverse current-voltage characteristics for the Ti Schottky barrier diode with the SigN, passivation the area of the contact pad. An average minimal contact resistivity of 2x10~* em? was obtained from the TLM patterns annealed at 1030 °C for 30 min. The annealing conditions were used during SBD fabrication. ‘Table 1 shows the distribution of breakdown voltages for the nitride-passivated ‘Ti/4H-SiC SBDs. ‘The break- down voltages are in a relatively wide range between 120 ‘V and 268 V for devices on the same wafer. The observa- tion of the wide distribution of breakdown voltages is not surprising for SiC devices. For SiC, surface states and microstructures that can affect the device performance are known to vary within the dimension of the devices [6]. The surface of SiC is known to exhibit various de- fects, including micropipes, spiral growth centers, and a mixture of polytypes in one wafer (e.g., triangular 3C polytypes in a 4H wafer) [6,7]. The measured breakdown voltages of the devices are comparable with the results reported by Itoh et al. (6) igure 4 shows the typical room temperature I-V char- acteristics of the device. ‘The forward current of the de vice is about 70 mA/cm? at a forward voltage of 2 V, ‘The ideality factor, 1.2, was calculated from the follow- ing expression for thermionic emission of electrons: rae (Sew) where J, is the saturation current density, V is the ap- plied voltage, n is the ideality factor, 7’ is the absolute temperature, and q and & are the electron charge and Boltamann's constant, respectively. ‘The barrier height of the diode was calculated to be 1.05 V by using the expression AE) AT? a= nT @) where jis the barrier height in V and A* is Richard- son’s constant. It is worth pointing out that the mea- sured breakdown voltage is significantly lower than the theoretically predicted value, which is about 1400 V. The difference in the blocking capability stems from eleetric- field crowding within the depletion region near the edge of the Schottky contact [2]. Suitable termination tech- niques could spread the depletion region and release the lectric-ield crowding. However, SisNy passivation on the surface of the device employed in this experiment was found to be helpful for enhancing the breakdown. Figure Sis the -V characteristics of a Pt/4H-SiC SBD without any passivation of the surface. ‘The breakdown of the device occurs at about 110 V. Our experiments, showed that the Schottky barrier height using the sare Schottky metal dramatically change with the annealing conditions. This result. implies that the surface states of Si die barr ih he Sosy conta ‘The Ti/SiC diode with nitride passivation has & higher breakin voltage than P/SiC diode without pase: tion because of better surface treatment. In the Pt/SiC diode tis beloved that an xen surface charge exita near the cdg of the Sehctky entact [8], Electrons emit- ted ina diction pale tothe surface may occupy site inthe forbidden and, hich tots in dynam ieoncess of charge residing on the surface immediately najacent tothe edge of the Schottky contac IV. CONCLUSIONS ‘The fabrication process and the breakdown character isties of 4H-SiC Schottky barrier diodes were discussed. ‘The optimal ohmie-contact resistivity was obtained by annealing of the Ni contact at 1030 °C. The Ti/4H-SiC SBDs with SigN, passivation showed a maximum reverse breakdown voltage of 268 V with a forward current densi- ty as high as 70 mA/em? at a forward voltage of 2 V. The ideality factor and the barrier height of the diode were calculated to be 1.2 and 1.05 V, respectively. The break- down of the Pt/4H-SiC SBDs without any passivation occurred near 110 V. It is concluded that the breakdown sat in the Ti/4H-SiC SBDs compared with the iC SBDs can be attributed to the passivation; Journal of the Korean Physical Society, Vol. 35, July 1999 otherwise, an excess surface charge near the edge of the Schottky contact would lead to electric fields of sufficient magnitude to cause field emission. ACKNOWLEDGMENTS ‘This work was financially supported in part by the Ko- rean Ministry of Education through the Research Fund (EISRC 97-B-1105) REFERENCES [1] R. J. Trew and M. W. Shin, Int. J. High Speed Electronics, and Systems 6, 211 (1995) {2} D. Alock and 8. J. Baliga, TEBE Trans. Flectron Devices 44, 1013 (1997). [3] L. G. Matus and J. A. Powel, Appl. Phys. Lett. 59, 1770 (issn) {4] RH. Wiliams, Surf. Sei. 261, 12 (299%). [5] H. H. Berger, Solid-State Electronics 15, 145 (1972). [6] A. Itoh, T. Kimoto and H. Matsunami, IBEE Electron Dev. Lett. 17, 139 (1996). [7] D. J. Larkin, MRS Bulletin 22, 36 (1997) {s] T.M. Barton and P. 1. Ladbrooke, Solid-State Electronics 29, 807 (1986)

You might also like