You are on page 1of 50

■ e ema i c
asean e ema i c 31. Interface
l. Contents 32. P
2. Block Diagram 33. IC 1
3. soc P 1 34. eLNA P
4. soc P 2 35. P ID B
36. P ID LB
5. soc P 3
37. GSM HB
6. SOC HS Interface 38. Switch
7. SOC GPIO Interface 39. eLNA D
8. soc Interface 40. D LB
9. soc GND & NC 41. D B
10. Hi6421 LDO 42. Reserved
_ _ , _., . MIMO
11. Hi6 :e-u-G
4,. MI
12. Hi6421 1IGI
13. HI6422 20 • 1 lle ~:
' '
. '--,
Res ve
'
15. Battery & Fue gauge 48. Reservea
16. Charge Management 49. Reserved
17. OLED Interface 50. Reserved
51. 1103 BB
18. Direct Charge LoadSwitch
52. 1103 PO R
19. Flash LED&TC 53. Reserved
20. Camera Interface 54. WIFI O
21. Type-C Interface 55. WIFI 1
22. Codee HI6405 56. GPS
23. MIC VIB 57. NFC BB
24. Headphone 58. T3 4
59. Tl 2
25. S T PA 60. T Tuner
The type and specification of the components refer to the BOM
26. X-Sensor /
1-------,, - - - - - - - -

27. I A
28. SIM Card O SD
L
29. FPC Interface REVIEWED XUJIANGUO 312186
VER PART- NUMBER SHEET 1 Coti>fi'ent62
30. Test Point Shielding
A 03025PEC HUA WEI TECH CO.,L TD
VDD_CPU_B SG304 ti) VDD_CPU_B_REMOT
GND SG303 ti) VSS - CPU- B- REMOT

• VDD_CPU_M SG302 ti) VDD_CPU_M_REMOT


GND SG301 ti) VSS_CPU_M_REMOT

VDD CPU B
VDD_GPU SG305 ti) _Q:*_ _ _ ___.._..,..,__~_..,,,,,~==
' ;y;;;¡._,,, VDD_GPU_REMOT
GND SG306 ti) VSS_GPU_REMOT

VDD_CPU_L SG309 ti)


O** VDD_CPU_L_REMOT
C316 C315 C313 GND SG310 ti) VSS_CPU_L_REMOT
lOUF lOUF lOUF
1 1 1 2 1 1 '>---f-----'2~ - - - 1 1 1 2
3
- - --GNDl
' ' 4 ' '
GND 21--____, >---+- - - -"----11GNDl GND2>--4- -
3 ' 4
GND2>--- -
VDD_MEM_CPU_L_!IG307 ti) .Q:* VDD MEM CPU L
_._l't,h-----'':'"""'-'~,..,.__..,.-__'C,_..._.,. REMOTE
GND SG308 ti) VSS_MEM_CPU_L_ REMOTE

C304 C303
lOUF lOUF
1 1 1 2 1 1
'
1 2
,f--- - - f ~ - - - - - ~
0300
' ' 4 HI3680V100
3
,---- -----1,GNDl GND2>--- - ,---~ 3'----i'G-:ND 1 GND2>--4- - -
CPU BIG GPU
_ _ __AD _ l _3 7 VDD_CPU_B_l VDD_GPU_l AC 2 B VDD GP
>---- ~AD..,__.,l~?~ VDD_CPU_B_2 VDD_GPU_2 AC 3 0
- -- ~ AE=1~ 4 7 VDD_CPU_B_3 VDD_GPU_3 >-=
A~C=3=2- - -
>----=AE=1=8--1 VDD_CPU_B_4 VDD_GPU_4 Ac 34
- -- = A~F 1 = 3 7 VDD_CPU_B_5 VDD_GPU_5 >-=
A=C=3= 6- - -
_ _ __AF _ l _? 7 VDD_CPU_B_ 6 VDD_ GPU_6 A_C_3 _B_ __
1-- C328 C327 C324
>---- =A=G=1= 8--1 VDD_CPU_B_7 VDD_GPU_7 AD 39 lOUF lOUF lOUF
2=8- - - 1 2 1 2 1 2
""'Mu - -- = A=J= l= B7 VDD_CPU_B_B VDD_GPU_B >-=
A=G=
3 ~0_ __
1

'
1 1

'
1 1 1
'
GND2>--4- - 3 GND2>--4- - GND2>--4- -
' ' '
____ AK_l_ 3 7 VDD_CPU_B_9 VDD_GPU_9 1--
A_G_ 3 -NDl -NDl 3
-+--~---¡GNDl
A=K1~7--1 VDD_CPU_B_lO
f - -_ __. VDD_GPU_lO 2 - ----1
~A~G~3 ~
i<
VDD_GPU_ll AG 34
o
:, TP 3 0 6 [¿g,>--_VD
__ D___ _ l_2__, VDD_CPU_B_T
C_P_U~--8~-~T_ _AE o VDD_GPU_l2 AG 3 G
3=8- - -
VDD_GPU_13 >-=
A=G=
.-1
r-1 C329 C325 C326
AH 39
8 --~T__AD_l_l__, VSS_CPU_B_T
TP305 t3l:1>----v_s_s~_~C_P_U~-~ VDD_GPU_l4
VDD_GPU_15 = 2 ~8 - ----1
~AL lOUF lOUF lOUF

VDD_CPU_M
1---C
=P~U
~ M _.D_.L=E.__--1 ~
=I=D
0
VDD_GPU_l6 >---'--"
AL =
º
=3
32
--- 1
3
1
'
1
'
2 1
_ __.3.__G-NDl
'
1 1 2
GND2>--4- -
'
3
1
'
1 1

'
2
- - --AL _ l_B---1 VDD_CPU_M_l VDD_GPU_l7 AL -ND 1 GND2f--4---- - -- ---1GNDl GND2c---4- -~
- -- = AM=1= 3 7 VDD_CPU_M_2 VDD_GPU_lB >-== 3 ~4 - - -
AL
____ AM_l_?7 VDD_CPU_M_3 VDD_GPU_l9 1--
AL_ 3 _G_ __
r- o = 1~4~ VDD_CPU_M_4
l---- ~AN r-1 VDD_GPU_20 ~AL= 3 ~8 - ----1
""'Mu U'l
M ---= AN==l= B7 VDD_CPU_M_5 VDD_GPU_21 AM 39
u C308 C307 1----=AP= 1=3--1 VDD_CPU_M_6 (U VDD_GPU_22 AR 3 0

1
lOUF
2 1
lOUF
2
- -- = A=R1 ~4 7 VDD_CPU_M_7
_ l _B7 VDD_CPU_M_B
o VDD_GPU_23 >-== 3=
AR 2- - -
AR 34
_ _ __AR
ro VDD_GPU_24 o
1 1
:,
1 1
N ,-,
i< ' ' '
:, o GND 2:1--4~__, 3
1 - - - t - - - - ',-__fNDl GND21--4~ - VDD_GPU_25 ~AR= 3 ~6 -----1
""'
M ""'Mu <n
e<)
o
.-1
.-1
TP 3 07 !¿<l',1 --_VD
_ O L l_.4---j VDD_CPU_M_T
_ __C_P_U~ M~ T_ _.A== ~ VDD_GPU_26 AR 3=B
r == . ___ _---, u u
J.-1
TP 3 0 8 t3l:,1 ---V
=S S = C=P_.U~ M= T.___.A=L l=2--1 VSS_CPU_M_T
== (U VDD_GPU_T r A
=U~3~8~ VD
==O= GP
=U= T
~ ---1{8j
1 TP30 4 :, :,
o o
C305 C306 >--_C
_ P_U +J
_ L_I_T_T_L_E_--1 VSS_GPU_T >--
A_T_3 _9 _V_S_S~__G_P_U~T
___11{8j TP 3 0 3 .-1 .-1

lOUF lOUF _ _ __.A=G=2_.0c..., VDD_CPU_L_l s:: >----------a


1 1 1

'
2 1 1 1> ----+-2_ _
' '
---j ____ A_G_2_27 VDD_CPU_L_2 •r-1 >-----G_P_.U_ME_M_ ___,
4 3 4 1---- ~A~G~2~4~ VDD_CPU_L_3 VDDC_MEM_GPU_l AE 3 0 VBUCKS O 8
GND2:r------ - - _ _ _..__fNDl GND21--~ -
- -- ~ AL=2
2=
=
º7 VDD_CPU_L_4
2--1 VDD_CPU_L_5
___. VDDC_MEM_GPU_2 AE 3 B
1----=AL= r, VDDC_MEM_GPU_3 >-- A_J _3 _4 _ _ _
= 2~0~ VDD_CPU_L_6
1---- ~AR VDDC_MEM_GPU_4 AN 3 0
____ AR_ 2 -4 7 VDD_CPU_L_7 VDDC_MEM_GPU_5 r AN_3_B_ _ _ ---j

f -- - - - - - - 1 n. f -- - - - - - j
VDD_CPU_L CPU MIDDLE MEM ..... EFUSE C338
,---- - - --AP _ l_?----1 VDDC_MEM_CPU_M VDD18_EFUSE_BISR >--
AV_ 3 -9 - - - • = - - - - - - - - - - - f VOUT2 1V8 • 1
*Atlanta ES ne CPU_L_MEM* VDDlB_EFUSE_SYS r =
AU= 4=0.___ _---,T
CPU LITTLE MEM 4.3u
> - - - - -- =
A= 2=
J= 2 7 VDDC_MEM_CPU_L_l SWP
2
C318 C317 2~
> - - - - - -~AR= 2 ~ VDDC_MEM_CPU_L_2 SWP VREF = 3=l. ___ _ _ _ _____,,~
8F
r= TP 301 1 - - - - - - j - ---j

- ---~
1'
lOUF lOUF OSC_VREF BB 3 l {8j TP302
i I lf------lf---'2.___--1 i 1 1 ----+~ 2_ _ ---, CPU PLL
- ~ 3 - :;NDl GND2:l-4-____, - + - - --
3--- GNDl GND2>--4---- l_ _ __.A~J_.l_.Oc..., AVDD12_PLL_CPU_B AR 2=8---- - - -
AVDD18_0TP r ~ VOUT2 1V8 C334
• 1----=AN=2--4--1 AVDD12_PLL_CPU_L • 1
;<'I
4.3u
2
C311
lOUF
1 1 1 2
3 ' ' 4
- --GNDl GND2>--- -

VDD- MEM- CPU- L- M -

.-1 .-1
o M C310
M M
u u lOUF
1 1 1 2
' '
3 GNDl GND2 4
i< :,
:, o
o .-1
.

VOUT37 1V2
e<)
e<) e<)
e<) e<)
u u
VBUCKl 1V12 SG402 tll VBUCKl_DDRMEM_RE TE

• GND SG401 tll VSS_DDRMEM_REMOT


U300
HI3680V100 VBUCKB - lV
DDRPHY CORE DDR MEMORY CORE in \O (X) r- O'I o r-
M13 VDD_DDR4A_l VDDl_M_l Fl '<I' '<I' '<I' '<I' '<I' in ('I
'<I' '<I' '<I' '<I' '<I' '<I' '<I'
M17 VDD_DDR4A_2 VDDl_M_2 BDl u u u u u u u
M19 VDD_DDR4A_3 VDDl_M_3 BH25
VBUCK6 - OV7 AU14 VDD_DDR4B_l VDD1_M_4 BC48
::J ::J ::J ::J ::J ::J -le
.-1 .-1 \O ('I o O'I in in '<I' M r- \O
AU18 VDD_DDR4B_2 VDDl_M_S F47 ..- ..- ..- ..- ..- ..- ::,
o M M o M ('I ('I o o o o o AU20 B25 o
'<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' VDD_DDR4B_3 VDD1_M_6 .-1
u u u u u u u u u u u u M31 VDD_DDR4C_l
• M33 VDD_DDR4C_2 VDD2_M_l A18 1
M37 VDD_DDR4C_3 VDD2_M_2 Bll -
-
::, ::, ::, ::, D D D D D D D D AU30 B31
o o o o .-1 .-1 .-1 .-1 2 .-1 .-1 .-1 .-1 VDD_DDR4D_l VDD2_M_3
.-1 .-1 .-1 .-1 AU32 B37
VDD_DDR4D_2 VDD2 - M- 4
AU36 VDD_DDR4D_3 VDD2_M_5 BD47 VBUCKl - lV
VDD2_M_6 BE2
AY23 NC_l VDD2_M_7 BE48 O'I o .-1 ('I (X) M ('I M in '<I' \O '<I' \O .-1 r- (X)

VDD2_M_8 BF3 in \O \O \O ('I \O in in in in in \O ('I .-1 in in


'<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I'
-
- VDD2_M_9 BF47 u u u u u u u u u u u u u u u u
VBUCK7 - OV6 VDD2_M_10 BG12 •
-
DDRPHY IO VDD2_M_ll BG38
::J ::J ::J ::J ::J ::J ::J ::J ::J ::J ::J ::J ::, ::, ::, ::,
- - - -
Jl4 VDD06_DDR4A_l VDD2_M_12 BH21 ..- ..- 2 ..- ..- ..- ..- ..- ..- ..- ..- ..- ..- o o o o
- - - -
Jl6 BH29 .-1 .-1 .-1 .-1
VDD06_DDR4A_ 2 VDD2_M_13
Jl8 VDD06_DDR4A_3 VDD2_M_14 C2
AY13
AY15
VDD06_DDR4B_l o VDD2_M_15 C46
D1
VDD06_DDR4B_2 r-1 VDD2_M_16
C417 '<I' C416 '<I' C418 '<I' C419 '<I'
4 AY17 D47 -
-
4 4 VDD06_DDR4B_3 VDD2_M_17
• 1 3_
-
1 3_
-
1 3 • 1 3_
-
AY19 VDD06_ DDR4B_4 VDD2_M_18 E2 VBUCK7 - ov
.3u .3u ~ \ 4.3u ,<'l i.3u ,<'l J32 VDD06_DDR4C_l ~

2 2 2
J34
J36
VDD06_DDR4C_2
VDD06_DDR4C_3
o DDR MEMORY IO
VDDQ_M_l A6
O'I
o
'<I'
o
.-1
'<I'
O'I
\O
'<I'
o
r-
'<I'
.-1
r-
'<I'
('I
r-
'<I'
(X)
\O
'<I'
in
\O
'<I'
r-
\O
'<I'
\O
\O
'<I'
'<I'
('I
'<I'
AY31 VDD06_DDR4D_l VDDQ_M_2 BHS u u u u u u u u u u u
1
AY33 VDD06_DDR4D_2 N VDDQ_M_3 BH19
AY35 VDD06_DDR4D_3 VDDQ_M_4 BG24
::J ::J ::J ::J ::J ::J ::J ::J ::J ::J ::,
(U VDDQ_M_S BH31 ..- ..- ..- ..- ..- ..- ..- ..- ..- ..- o
VBUCKl - 1V12 L14
L18
VDD1l_DDR4A_l o VDDQ_M_6 BG44
A44
.-1

- - - -
AV13
VDD 1 l_DDR4A_2
VDD1l_DDR4B_l ro VDDQ_M_7
VDDQ_M_8 A32
~
- - - -
AV15 VDD1l_DDR4B_2 VDDQ_M_9 A24
AV19 B19 -

L32
VDD11_DDR4B_3 J.-1 VDDQ_M_lO -

L36
VDD1l_DDR4C_l (U UFS
C422 '<I' C423 '<I' C421 '<I' C420 '<I' AV31
VDD11_DDR4C_2
VDD11_DDR4D_l
.µ AVDD_UFS R38 VOUTO - OV7

4.3u
1
,<\I I
3
4.3u
1 3
4.3u
1 3
4.3u
1 3 AV35 VDD1l_DDR4D_2 s:: R40 VOUT36 - lV
,<'I ,<'I ,<'I
AY29
·r-1 AVDD12_UFS
NC_2
USIM IO
AW30 NC_3 N VDD1830_USIMO AWlO VOUTll_USIMO_lV8/2V9
'1
VDD1830_USIM1 AW12

VOUTS - 1V8 DDRPHY PLL ~ SDCARD IO


Ml5 AVDD18_PLL_DDR4A VDD1830 - SD AV9 VOUT9_SDIO_lV8/2V. 5
AU16 AVDD18_PLL_DDR4B
('I M '<I' in
M35 AVDD18_PLL_DDR4C SYS CLK
M M M M AU34 AVDD18_PLL_DDR4D VDD1812_CLK_SYS V39 VOUT36 - lV
'<I' '<I' '<I' '<I'
u u u u
IO POWER '<I' \O r- M in
AB41 r- r- r- r- r-
::J ::J ::J ::J VDD18_IO_l '<I' '<I' '<I' '<I' '<I'
..- ..- ..- ..- AF41
VDD18_I0_2 u u u u u
AH41
VDD18_I0_3
AM41
VDD18_I0_4 ::, ::, ::, ::, ::,
AP41 VDD18_I0_5 .-1 .-1 .-1 .-1 .-1
VBUCK8 - 1V8 - - AT41
VDD18_I0_6
AW22
VDD18_I0_7
AW28 VDD18_I0_8
AW38
VDD18_I0_9
3ND_403 GND - 404
AP Ternpe rature DET r- O'I ('I M o .-1 '<I' (X) ('I M
K21 VDD18_I0_10
-
-
M M '<I' '<I' '<I' '<I' '<I' M .-1 .-1 K29
'<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' '<I' VDD18_IO_ll -le -le
VOUTS - 1V8 u u u u u u u u u u K39 VDD18_I0_12 -le -le
• o o
- LlO
M9
VDD18_I0_13
·I i , -11,
::J ::J ::J ::J ::J ::J ::J ::J ::J ::J VDD18_IO_l4
:.:: ..- ..- ..- ..- ..- 2 ..- ..- ..- ..- ..- P9 VDD18_I0_15 s s'
o Y41 VDD18_IO_l6
o
.-1
' M '<I'
o o
'<I' '<I'
l!) l!)
M tll tll
o
'<I'
C_IN7

(X)
r- .--t
'<I'
u o 1 %:.::
o
oql o
.-1
-le
¡:: E-4
o
.-1
~

NO

AP Temperature DET
VBUCKO OV75 SG502 ti) VBUCKO_PERI
GND SG501 ti) VSS_PERI_REMO

• U300
HI3680V100
VBUCK4 0V7

PERI CORE MODEM


VBUCKO OV7 >----~ = 2~4-J
AA VDD_PERI_l VDD_MODEM_l i-" A~C= 1= 2- -----<
>----=AA= 2~6-1 VDD_PERI_2 VDD_MODEM_2 >---"-'
A=C= 1 ~4- - - C520 C535
>----=AA= 3 =º-1 VDD_PERI_3 VDD_MODEM_3 ¡-= A=C= 1 =6------< lOUF lOUF
C507 C516 >----= = 3~2-1
AA VDD_PERI_4 VDD_MODEM_4 ~A~C= 1 =8- -----< 1 1 1 2 1 1 1 2
lOUF lOUF 3 ~4 -1 3 ' ' 4 3 ' '
>----=AA= VDD_PERI_S VDD_MODEM_S 1----
A_C_Z_O_ __ - --GNDl GND21----- - - - - 1 - - --GNDl GND21----4- - - - - 1 -
1 1 1 2
f--------'1"------1-----11 1 2 >----~ AE
= Z~Z-j VDD_PERI_6 VDD_MODEM_6 N=1=
¡....ee 2 - -----<
~ ~ 3----t,GNDl
' '
GND21-4.__-~ - -- 3 ----- GNDl GND21----4~ - C504 '<I' 4.3u >----=A=G= 2 ~6-1 VDD_PERI_7 VDD_MODEM_7 >---"-'
R=l=O_ _ __
1 3 >----=AL= 2 =6 -1 VDD_PERI_B VDD_MODEM_S ¡-= R= 1=2 - -----<
>----= A~U~Z~Z-1 VDD_PERI_9 VDD_MODEM_9 i--"R=1~4- -----<
>----=A=U= 2 =8 -1 VDD_PERI_lO VDD_MODEM_lO 1----
R_l_B_ _ __ C537 C536
>----~ A~V=3~7-J VDD_PERI_ll VDD _MODEM_l 1 R=2=º - -----<
¡....ee lOUF lOUF
>-----=M= 1= 1-1 VDD_PERI_12 VDD_MODEM_12 W=1=
f------ 2- - - - 1 1 1 2 1 1 1 2
2 =1 -1 1~4------< 3 ' ' 4 3 ' '
4
>-----=M= VDD_PERI_13 VDD_MODEM_13 r W= ,----~'--------l'G-:NDl GND2i-.___, >--------'.__~-·NDl GNDZ.1----- -
>--------"'M=2~9-1 VDD_PERI_14 VDD_MODEM_14 W=1=6- -----<
f--'-'
>----_..N=l=0-1
>--_ __.. N~Z~Z-j
VDD_PERI_lS
VDD_PERI_16
VDD_MODEM_lS
VDD_MODEM_16
1----
1-'-'
W_l_B_ _ __
W= ZO~ ------<
f-------------~--
>--_ __..N~Z~ 4 -1 VDD_PERI_17
'<I' O\ >----_..N=Z= 6-1 VDD_PERI_18 MODEM MEM
N
'<I' .-1 o >--_ __.. N=3=º -1 VDD_PERI_19 R=1=6- - ----<,> - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
VDDC_MEM_MODEM_l i--" VOUT40 OV
U') U') <n
u u u >-----=N= 3 =2 -1 VDD_PERI_20 VDDC_MEM_MODEM_2 AAlG N M
>--_ __..N= 3 ~4 -J VDD_PERI_21 U') U')
U') U')

::, ::, :::i :::i :::i :::i :::i :::i :::i :::i
>--_ __.. N=3~6-1 VDD_PERI_22 ABB u u
VOUT6_0V8
o
.-1
o
.-1
,-- .,.- ,-- ~ ,-- ,-- .,.- ~
>----~º= 2= 2 -1 VDD_PERI_23 o AVDD085_ABB_l ¡-=AA= 8------<
>---------"º ~2~4-1 VDD_PERI_24 r-1 AVDD085_ABB_2 ~A~C=8 - - ----< '<I' M \O .-1 N
N N
>-----~º=2 ~6-1 VDD_PERI_25 AVDD085_ABB_3 1----
AE _ B_ _ __ 00
U')
00
U')
'<I'
U') U') U')
>----~º= 2 =8 -1 VDD_PERI_26 AVDD085_ABB_4 ¡-=A=G= 8 - -----< u u u u u
>---------"º =3=º -1 VDD_PERI_27 AVDD085_ABB_5 ~A=J =ª - -----<
>----~º= 3= 2-J VDD_PERI_28 AVDD085_ABB_6 1----
A_L_B_ _ __
C523/C576 close to AE24 C523 1u AVDD085_ABB_7 º =8- - -----<
f---"'
*AE24:PERI PLL Power pin* , f------------>•>-------c::C~57é-:c6é-i
*AG12: CPU_B PLL Power pin*, I 1

C577 f--------'---"---
1u
1u
1 >----=AE=
>----=A=G=
2 ~4 -1 VDD_PERI_29
1= 2 -1 VDD_PERI_30 M AVDD085_ABB_8 f------
W= 8 - ------<

*AL24: CPU_L PLL Power pin*, I C579 1u >----= = 2~4-1 VDD_PERI_31


AL AVDD18_ABB_l r= AB .?___ __
VOUT7 1V8
~
AVDD18_ABB_2 1----
AD_?_ _ __
PERI MEM AVDD18_ABB_3 1-"AF "---'-
? _ _ _---<
VOUT39 va >--_ __..R=Z~Z-1
3 =º-1
VDDC_MEM_PERI_l ro AVDD18_ABB_4 >---"-'
AH ~ 7- - - -
7------<
O\
<n
<n
u
>----~W= VDDC_MEM_PERI_2 la
1
AVDD18_ABB_5 ¡-=AK~
º =3~4-1
>---------" VDDC_MEM_PERI_3 ,-, AVDD18_ABB_6 V~7- - -----<
f---'-
>----=AA= 2=2 -1 VDDC_MEM_PERI_4 $-1 AVDD18_ABB_7 1----
Y_?_ _ __ :::i
= 2~6-J
>-------"AN VDDC_MEM_PERI_S QJ ~

>--_ __..N~Z=B-1 VDDC_MEM_PERI_6 \ AVDD18_ABB_REF >---"-'


AM ~ 7- - - - C557 f-------1
1 u- - jl 1,
Route AM7 net seperately
1
C501 '<I' 4.3u ~_ _ _A_U_Z_4---f VDDC_MEM_PERI_7 +-" f - - - - - - - - - - - - - - ---j

~ >------=U=S=B=3~+=D=P _ _ _----<
:::i :::i :::i
~ ~ ~
1 3
1------
P_ER_I~(F_I_X_E_D_C_O_RE~)-----<•r-l AVDDO?S_USB_DP_l r AP
__ 9 _ _ _~ C545 4. 7UF 1,
vou 30 O 75 C525 C528 close to AR26 PIN ARZG VDD075_PERI_FIX AVDD075_USB_DP_2 1-"
AR='-S_ _ _---<. ,_--1• - - - - - . L L_ _ _ _- 1 __ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _----t VOUT30 0V7

l_ _ _ _M_Z~S---f VDD075_PERI_FIX_CSI_l M AVDD12_USB_DP_l r AR_l_O_ _ _~l


M=2~7-j VDD075_PERI_FIX_CSI_2
• >--------"' A=T~9 - -----<e > - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - t
AVDD12_USB_DP_2 i-" VOUT37 lV

VOUT30 0V75 C526 lose to AUlO & AU12f_I_N


_ _ _ _---t-_ _ _A_U_l_O----j VDD075_PERI_FIX_MMCO_l USB2.0
04
1----------+---=A=U=l=Z---< VDD075_PERI_FIX_MMC0_2 AVDD33_USB r= =~º -- -
3=
>----------L= 8-1 VDD075_PERI_FIX_MMC1 AVDD33_VDDH_USB 1----
T- 4-1- - - - • > - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - VOUT23 3V

PERI FIX PLL V41~ - - -


VDD_USB r ~
VOUT30 0V75 M41 L38 share a cao,M25 M27 each on 4=
_,c=a=LD,c__ =M= 1 -1 AVDD075_PLL_FIX • C562 1u
f - - - - -- - - - j 1 '
C562 Close to V41
SYS CORE
VOUT37 1V2 > - - - - - - - - - - - - - - - - - - ~ M ~3.__,9.____, AVDD12_PLL_FIX VDD_SYS_l 1----
AA_Z_B_ __ VOUTO_OV7.
VDD_SYS_2 i-" AA = 3 =8- -----< 'T <n \O
PERI PLL VDD_SYS_3 A=G=4=º - - -
>---"-' r--- <n <n
<n <n <n
VOUT37 1V2 _ _ _ _ _ _ _ _ _ _ _ _AE_Z_G---j AVDD12_PLL_PERI VDD_SYS_4 ¡-= AN~ 4=º------< u u u ~
<n
VDD_SYS_S º =3=8 - - --<
1----"' u
MIPI VDD_SYS_6 f------
W= 3=6- - - -
:::i :::i :::i
VOUT37 1V2 >------= K=2=3-1 AVDD12_CSI_l VDD_SYS_7 r W=3= 8 - -----< ~ ~ ~
C561 4.3u
- 1 3- D D D
>--_ _ _..K=Z=S-1 AVDD12_CSI_2
~_ _ _K_Z_?---f AVDD12_CSI_3 VDD_SYS_S 1----
AA- 3 ~6- - - c---'1-""u--1 1 es 7s l i
- - N

.-1 .-1

VOUT37 1V2 >-----a>----=A~W~Z~4-1 AVDD12_DSI_l SYS PLL *AA36:AVDD_PLL_SYS


2
T~ ___A_W_Z_G---f AVDD12_DSI_2 AVDD12_PLL_SYS 3=
y= 9- - - - - - - - -
f---=
VOUT36 lV

00
N
<n
N
\O
N
<n
,....,
'T
<n
'T
N
<n
N
C')
<n
-
C ')
<n
PCIE
N=3=
AVDD075_PCIE ¡....ee 8 - -----< VOUT30 OV7
- <n
u 'J u u u •
u u •
N-42- - - ~
AVDD075_IO_PCIE c--
:::i :::i :::i :::i :::i :::i :::i
~ ~ ~ ~
~2 ~
~2 AVDD12_VPH_PCIE 1----
N_ 4_0_ _ _ __ VOUT37 lV
r--- \O .-1 ,....,
\O \O O\ 00
<n <n U') <n
u u u u

:::i :::i -1< :::i


~ ~ s:: ~
o
r--
'<I'

0300
HI3680Vl 00
CSI COMB UFS
CPHYO_RXl_B 017 J46 UFS_TXO_
CSIO - CLK- N- CPHYO_RXl_B UFS_TXO_M
CPHYO - RXl - A E16 CSIO - CLK- P - CPHYO_RXl_A UFS_TXO_P H45 UFS - TXO -
CPHYO_RXO_C B17 CSIO_DATAO_N_CPHYO_RXO_C UFS_TXl_M J44 UFS_TXl_
CPHYO_RXO - B Cl6 CSIO_DATAO_P_CPHYO_RXO_B UFS_TXl_P H43 UFS - TXl -
CPHYO_RX2 A B15 CSIO_DATA1_N_CPHYO_RX2_A
CPHYO_RXl_C Cl4 L46 UFS_RXO_
CSIO_DATAl_P_CPHYO_RXl_C UFS_RXO_M
CPHYO_RXO_A 019 CSIO_DATA2_N_CPHYO_RXO_A UFS_RXO_P K45 UFS_RXO_
ClB UFS_RXl_M K43 UFS_RXl_
CSIO_DATA2_P
CPHYO_RX2 - e 015 CSIO_DATA3_N_CPHYO_RX2_C UFS_RXl_P L44 UFS - RXl -
CPHYO_RX2 - B E14 CSIO_DATA3_P_CPHYO_RX2_B
UFS_RST_N P45 UFS - RST - N
SCAM Sil _CLK_N B33 CSil - CLK- N- CPHYl_RXl_B UFS_RESREF M47 UFS_RESREF R606 00 1%
1 '
SCAM Sil_CLK_P C32 CSil_CLK_P_CPHYl_RXl_A
SCAM Sil - DATAD - N 031 CSil_DATAO_N_CPHYl_RXO_C NC_4 L48 GND
1
SCAM Sil - DATAD - P E30 CSil_DATAO_P_CPHYl_RXO_B NC_5 K47 GND
1 '
SCAM Sil - DATAl - N C34 CSil_DATAl_N_CPHYl_RX2_A
SCAM Sil - DATAl - P 033 CSil_DATAl_P_CPHYl_RXl_C USB3+DP COMB
SCAM Sil - DATA2 - N B29 CSil_DATA2_N_CPHYl_RXO_A AY5 USB3 - PHY- TXO_M C609 100N SOC_USB3_TXO_M
USB3_PHY_TXO_M
SCAM Sil - DATA2 - P C30 AW4 USB3 - PHY- TXO_P C608 100N SOC_USB3_TXO_P
CSil_DATA2_P USB3_PHY_TXO_P
SCAM Sil - DATA3 - N 035 CSil_DATA3_N_CPHYl_RX2_C USB3_PHY_TXRXl_M AY3 USB3_PHY_TXRX1_M C607 100N SOC - USB3 - TXRXl
SCAM Sil - DATA3 - P E34 CSil_DATA3_P_CPHYl_RX2_B USB3_PHY_TXRX1_P AW2 USB3_PHY_TXRXl_P C606 100N SOC- USB3 - TXRXl
USB3_PHY_TXRX2_M BA2 USB3_PHY_TXRX2_M C605 100N SOC- USB3 - TXRX2
.. SI2 - CLK- N
SI2_CLK_P
F21 CSI2_CLK_N_CPHY2_RX1_B o USB3_PHY_TXRX2_P BB3 USB3_PHY_TXRX2_P
USB3_PHY_TX3_M
C604
C603
100N
100N
SOC- USB3 - TXRX2
SOC_USB3_TX3_M
G22 BD5
SI2 - DATAD - N C22
CSI2 - CLK- P - CPHY2_RXl_A r-1 USB3_PHY_TX3_M
BC4 USB3_PHY_TX3_P C610 100N SOC - USB3 - TX3 - p
CSI2_DATAO_N_CPHY2_RXO_C USB3_PHY_TX3_P
SI2 - DATAD - P 021 CSI2_DATAO_P_CPHY2_RXO_B
SI2 - DATAl - N A22 CSI2_DATAl_N_CPHY2_RX2_A ~ USB3_PHY_RESREF BBl USB3_PHY_RESREF R605 · .?QO 1%
SI2 - DATAl -
SI2 - DATA2 -
P
N
B21
G24
CSI2_DATAl_P_CPHY2_RXl_C o BB5 GND
1 '

CSI2_DATA2_N_CPHY2_RXO_A NC_6 1 '


SI2 - DATA2 - P H23 NC_7 BA4 GND
SI2 - DATA3 - N E24
CSI2 - DATA2 - P oqt 1

CSI2_DATA3_N_CPHY2_RX2_C
SI2 - DATA3 - P F23 CSI2_DATA3_P_CPHY2_RX2_B USB2.0 USB_DM
QJ USB2_DM T47 SOC- USB- DM 4
' ' 3
CSI3_CLK_N H27 CSI3 - CLK- N- CPHY3_RX1_B o USB2_DP R48 SOC- USB- DP *
* V y
CSI3 - CLK- P
CSI3_DATAO_N
G26
E26
CSI3_CLK_P_CPHY3_RXl_A
CSI3_DATAO_N_CPHY3_RXO_C
ro USB2_ID
USB2 - TXRTUNE
N46
P47USB2_TXRTUNE R601 • 00 1% 1 T601 2
USB_DP

CSI3_DATAO_P F25 CSI3_DATAO_P_CPHY3_RXO_B ~ 1 '

CSI3 - DATAl - N C28 CSI3_DATA1_N_CPHY3_RX2_A ~ DP AUX


CSI3_DATAl_P 027 BC6 SOC_AUX_PADN C612 100N AUX_PADN
025
CSI3_DATAl_P_CPHY3_RXl_C QJ AUX_PADN
BB7 SOC_AUX_PADP C611 100N AUX_PADP
CSI3_DATA2_N_CPHY3_RXO_A AUX_PADP
C26 CSI3 - DATA2 - P
+l
H29 CSI3_DATA3_N_CPHY3_RX2_C ~ PCIE
G28 CSI3_DATA3_P_CPHY3_RX2_B ·r-1 PCIEO_REFCLK_OUT_M C44 PCIE_REFCLK
PCIEO_REFCLK_OUT_P B45 PCIE_REFCLK
OSI COMB G48 PCIE_RESREF R604 \ / 00
DSIO_CLK_N BC22 Ul PCIEO_RESREF
E44 SOC_PCIE_TXO_B601 100N PCIE_TXO_
1 '

DSIO_CLK_P
DSIO - DATAD - N
BB23
BD21
DSIO_CLK_N_CPHYO_TXl_B
DSIO_CLK_P_CPHYO_TXl_A o:: PCIEO_TXO_M
PCIEO_TXO_P F45
E46
SOC_PCIE_TXO_E602
PCIE_RXO_~
100N PCIE- TXO - P
DSIO_DATAO_N_CPHYO_TXO_A PCIEO_RXO_M
DSIO_DATAO_P BE22 045 PCIE_RXO_P
DSIO_DATAO_P PCIEO_RXO_P
DSIO - DATA! - N BC24 DSIO_DATAl_N_CPHYO_TX2_A PCIEO - PERST- N/GPI0_268 AM47 PCIE - PERST -
DSIO - DATA! - P BD23 DSIO - DATAl - P - CPHYO_TXl_C PCIEO_WAKE_N/GPI0_222 AR46 GPIO- 222 - FP VDD_EN
DSIO_DATA2_N BF23 AN42 PCIE_CLKREn
DSIO_DATA2_N_CPHYO_TX2_C PCIEO_CLKREQ_N/GPI0_223
DSIO - DATA2 - P BE24 DSIO_DATA2_P_CPHYO_TX2_B
DSIO_DATA3_N BF19 DSIO_DATA3_N_CPHYO_TXO_C PCIEO_REF_PAD_CLK_M H47 GND
1 '
DSIO - DATA3 - P BE20 DSIO_DATA3_P_CPHYO_TXO_B PCIEO_REF_PAD_CLK_P G46 GND
1

BE26 DSil_CLK_N_CPHYl_TXl_B DDRPHY


BD27 DSil - CLK- P - CPHYl_TXl_A DDR4_ZQ_SOC BH17 DDR4_ZQ_SOC
BD25 DSil_DATAO_N_CPHYl_TXO_A
BC26 DSil_DATAO_P DDR MEMORY
BC28 DSil_DATAl_N_CPHYl_TX2_A DDR_ZQO_MEM B27 DDR_ZQO_MEM
BB27 DSil_DATAl_P_CPHYl_TXl_C DDR_ZQl_MEM A26 DDR- ZOl - MEM
BF29 DSil_DATA2_N_CPHYl_TX2_C
BE30 DSil_DATA2_P_CPHYl_TX2_B
BF25 DSil_DATA3_N_CPHYl_TXO_C
BG26 DSil_DATA3_P_CPHYl_TXO_B

■ VBUCK7_0V6 DDR4_ZQ_S9'
'---''-=='-'-'-,= -=--=--~R603
~ ~vv"240
- l% Á Á

■c..-.!.
VB~U~C~K
~7~0'.._!
V_.o,:
6_ __.R,,,,6,..,0'--"'2~ \fV2 4 ~ % DDR_ZQO_ME¡i

■c__"VB..,_..,_UC-...K~7~0,_.V_..6c_____.R"'6.,_,0~7~\fV2 4 ~ % DDR_ZQl_ME¡i

0300 0300
HI3680V100 HI3680V100
ISP AO ISP PERI I2C+I3C AO SPI PERI
GPI0_203_WL_WAKEUP_AP AL42 GPI0_203/ISP_GPI003_PRTRB ISP_GPIOOO_FTRSTN ¡--
B_E_l _6--r-_G_P_I_0-0_l_l_L_C_D_A_VD_D~ I2CO_SCL BE42 I2CO_SCL/GPIO_l87 SPil_CLK/GPIO_OO? ¡--
B_F _l5_/--r-_ _ _ _N_F_C__
S_P_I-C_L_K
__
SOC_CODEC_SSI_DATA AV43 GPI0_204/CODEC_SSI GPIO_Oll/UARTl_CTS_N 1--_//
I2CO_SDA BG42 I2CO_SDA/GPIO_l88 UART2_CTS_N 1--_/
"''--------1 ISP_GPI004_FTPWM ISP_GPIOOl_BKRSTN ¡--
G_l _2 -c,-_ G
_P
_ I _0_ 0_1_2_ M
_ C_A_M O~ R_S_T
_ I2Cl_SCL BA48 I2Cl_SCL/GPI0_189 SPil_DI/GPIO_OOB ¡--
BD_ 17_/--r-----N_F_C
_ _S_P_I- M
_I_S_O
_
GPI0_205_PMU12_INT N AT43 GPI0_205/ISP _GPI005_BKPWM
GPI0_20 9_SIM_WDET AU48 GPI0_2 09/ISP _GPI007 _ENA
GPI0_012/UARTl_RTS_N
ISP_GPI002_MNTRB
1--_//
BD 33 GPI0_013_FLASH_STR E
GND
I2Cl_SDA "AY47
I3Cl_SCL
I2Cl_SDA/GPI0_190
UART2_RTS_N
SPI1_DO/GPI0_00 9
1--_ /
¡- B_G_l _6 --,-_ _ _ _N_F_C
_ _S_P_I- M
_O_S_ I_
GPI0_210_KEY_DOWN BB43 GPI0_210/ISP_GPI008_ENB
GPI0_211_CODEC_INT N BC42 GPI0_211/ISP_GPI009_ENC
GPI0_013/UART1_RXD
ISP_GPI006_SBPWM
GPI0_014/UART1_TXD
/
i-=B=E=3=2--,----_G~P_I~0~0_1_4_T_C_AM~~R_S~T~
/
I2C6_SCL
I2C6_SDA
"'BE40
BG40
I3Cl_SDA
I2C6_SCL/GPI0_246
I2C6_SDA/GPI0_247
UART2_RXD
SPil_CS_N/GPIO_OlO
UART2_TXD
/
¡-=B=C=l=--8--,--_ _ _ _N_F_C~_S_P_I-C_S_N_
/
IO AO o AW44
ISP_GPIOlO_FSYNC i-=B=C=3=2--,----_G=P==I~0~0~1=--5=T==P~R=S=T~N~ N I2C7_SCL/GPIO_l91
/ r-
GPIO_l 77 _PMUO_INT N
GPI0_183_SIM_SD_DET
AN48
U42
GPIO_l ??
GPI0_183/CLK_OUTO
GPI0_015
ISP_CLKO/GPI0_020
1--_/
c--=
C=l=O_ _ ¡-I=S=P~~C=C=L=K=0~------=R~7~1~1~ o
u
ISP_CLKO_MCAM "'
AY43
I2Cl_SCL/I3CO_SCL
I2C7_SDA/GPI0_192
SDIO
SDIO_CLK/GPI0_128 A4 0 R71 7 2WL_SDIO_C
GPI0_184_HALL_INT_N AV47
BC 44
GPIO_l84/CLK_OUT1
BLPWM_CABC/GPI0_185
ISP_CLK1/GPI0_021
ISP_CLK2/GPI0_022
3 s..__ _~I=S=P'---'C~C~L~K~l--__ _ _ _ __...R~7Gl~2"-l
i----c=
º =3 =? _ _ ¡-I=S
i---= = P~=C=C=L=K~2--__ _ _ _ _ _=R~7~1~3"--
ISP_CLKl_SCAM
ISP_CLK2_MCAM
GPI0_242_SPK_ID1
"AY45
BA46
I2Cl_SDA/I3CO_SDA
I3C2_SCL/GPI0_241
CLK_PCIEIO_TEST
SDIO_CMD/GPI0_129
1--_/
E4 0
/
R718 , 2WL_SDIO_C
WL- SDIO- D
" "--------1 BLPWMl_CABC ISP_SCLO/GPI0_023 e-=E=l=O_ _ ¡-I=S=P~-=S=C=L=--O~---- I3C2_SDA/GPI0_242 SDIO_DATA0/GPIO_l30 F4l
~o 45 BLPWM_BL/GPI0_186 ISP_SDAO/GPI0_024 ¡--E_1 _2 _ _ _I_ S_P_ __S_D_A_0_ _ _ __ SDIO_DATA1/GPI0_131 B4 l WL- SDIO- D1
'--------1 PWM_OUTO/BLPWMl_BL ISP_SCL1/GPI0_025 i---=
E=3=8 _ _¡-I=S =P~ =S=C=L=l~ - - - - I2C PERI SDIO_DATA2/GPI0_132 o 4l WL_SDIO_D2
=AB~4~7,
_S_L_I_MB_U~S_C_L_K_ _ _ _ _-----sc"' SLIMBUS_CLK/GPIO_l93 ISP_SDA1/GPI0_026 c-=G=3=8_ _ _I_S~P ___S_D_A_l_ _ _ __ I2C3_SCL BD35 I2C3_SCL/GPI0_005 SDIO_DATA3/GPIO_l33 E 42 WL_SDIO_D3
.-IN
'--------1 SOUNDWIRE_CLK ISP_SCL2/GPI0_027 ¡--G-3 _6_ _ _I_ S _P_ __S_C_L_2_ _ _ __ NN I2C3_SDA BF35 I2C3_SDA/GPI0_006 SDIO_DATA4/GPI0_134 B39 GPIO_l34_USB_MOS TRL
37 =I=S=P__=S~D=A=2~ - - - - I2C4_SCL GPIO_l35_MIC_GND N
~S=L=I~MB~U~S=D~A~T=A~----~"' 4 5=-----,
AB== SLIMBUS_DATA/GPIO_l94 ISP_SDA2/GPI0_028 e-=-
F= ~ ~1--------1
BF33 I2C4_SCL/GPI0_029 SDIO_DATA5/GPIO_l35 c 4o
o
¡ -_ _

"--------1 SOUNDWIRE_DATAO I2C4_SDA BE34 I2C4_SDA/GPI0_030 SDIO_DATA6/GPIO_l36 c 42


GPI0_221_0LED_DRIVER AC46 GPI0_221/SOUNDWIRE_DATA1 SYS SIGNAL r-1 SDIO_DATA7/GPI0_137 o 39 GPIO_l37_DP_AUX_ ITCH
GPI0_195_CODEC0_AI_KE'lf..C44 GPIO_l95/I2SO_DI CLK_SLEEP U44 SYS_CLK32 ~~2~K.__ UART
T,_____C_ 7_ 0_ 7____,
GPIO_l96_LASER_INT_N AC42 "' "--------1 DSD_DAT1_6403
GPIO_l 9 6/I2SO_DO CLK_SYSTEM ¡--
T_4 _3 _ _ _S_Y_S___C_L_K_ _ _ _ __
1 3 . 9P BC 3 B
"''--------1
UARTO_RXD/UART2_CTS_N
GPI0_031/USB_DRV_VBUS
SO CARO
SD_CLK/GPIO_l60/USIMl_CLK BGB R730 ~2~-~S=D~C~S=I~M~C._.L=
"--------1 DSD_DAT0_6403/UART7_RXD ~F 3 ? UARTO_TXD/UART2_RTS_N SD_CMD/GPIO_l61 BH9 R731 • 1,_?2..__ __..S~D._.C..__C..-MD-=--
'---------1 DSD_DAT1_6403 SYSCLK_EN ¡--
V_4 _3 _ _ _S_Y
_ S_C_L_K__~E_N
_ _ _ __ '--------1 GPI0_032/LCD_TE1 JTAG_TMS_SD 1----_//
~G=P=I=--0==1=9~7~AMB==I=N==T~Nf-------sc=AL~4~4, GPIO_l97/I2SO_XCLK 6
¡-G=P=I=--0~0=--3=--3=P=--MU===2~E=Nf--_~"="B=C=l-=----, UARTO_RTS_N/UART2_TXD \0 SD_DATA0/GPIO_l62 BES R732 , ,?2SDC_DATA0_SIM_ T
"''-------1 DSD_CLK_6403/UART7_TXD PMU IF '-----1 GPI0_033 JTAG_TCK_SD/USIMl_RST /
~ G=P=I~0=1=9~8=T
=P==S=T=B- - - ,"= 45
AH==7 GPIO_l98/I2SO_XFS SPMI_DATA/GPI0_243 i---=
AA== 4=8_ _~S=P=--M = =I~D=A=T=A ~---- ¡-G=P~I~0~0=--3=--4=P
=--MU
===l~E=N~-------c"=B=D=l75 UARTO_CTS_N/UART2_RXD SD_DATA1/GPIO_l63 BF9 R733 /llDC_DATAl_SIM_D A
"--------1 DSD_DAT0_6403 o SPMI_CLK/GPI0_244 i---=AA==4=6___S_P_M_I_C_L_K_ _ _ __ GP I O_ O3 4 Q) JTAG_TDI_SD/USIMl_DATA /
GPIO_l99_SH_WAKEUP_GP9.R44
""--------1
GPIO_l 9 9/I2Sl_DI
UARTB_CTS_N
r-1 PMU_AUXDACO_SSI/GPI0_002
PMU_PER_EN
r- A_l _O_ _ _P_MU _ _ A_U_X_D_A
i---=A=U=4=4_ _~P=MU==--=P=E=R=I~--E=N~---
_C
_ O___S_S_I_ SOC_GPS_UART4_CTS_N
SOC_GPS_UART4_RTS_N
"--------1
U48
V47
UART 4 _CTS_N/GPI0_259
UART 4 _RTS_N/GPI0_260
oro SD_DATA2/GPI0_164 BD 9 R734 '
JTAG_TDO_SD 1--_//
2 SDC_DATA2

_ G_P_I_0_ 2_ 0_0_ W
_L~ E_N
_ _ _ _-cc-B~C_4~6, GPIO 2 oO/I2Sl DO SYS_RSTIN_N ¡-- W_4 _2 _ _ _P_MU _ ___R_S_T___s_o _c_ _N_ _ SOC_GPS_UART4_RXD U46 UART 4 _RXD/GPI0_261 ll,..t SD_DATA3/GPI0_165 BElO R 73 S 2 A
SDC_DATA3
"':::=c-::i UARTB RTS N - PMU_RSTOUT_N i---=
AV== 4=5_ _~S=--O =C = =R=S=T~P=MU === N¡ - _ SOC_GPS_UART4_TXD V45 UART4_TXD/GPI0_262 J.-1 JTAG_TRST_N_SD / C
GPI0_201_SPK_SMARTPA_M'l;iN
"' =
~G=P=I~0=2=--0=2=B=T~=E=N---~=AM=4 3=-----,
'--------1
GPIO 2 ol/I2Sl XCLK
UART -8_RXD -
GPI0_202/I2Sl_XFS
GPIO_l76_PWR_HOLD
GP IO_2 2 4_PMUO_INT
VBAT_DROP_PROT/GPI0_019
¡-=AR=4=2_ _~G=P.._...I=0___-1~7~6..__.P~MU=__..P~WR--=- OLD
f-= A=U--=-
4= =O=D=E=C"""'-'G= 019_WAKE_UP
2 _ _ ----:GcccPc-=I-:'=0:"""=2=2=--4 ~C
i----B=C=3~4___VB--=A._._..T__..D~R~O~P.__~I~R=--O__
GPI0_255_NFC_DWL_REQ
"'
GPI0_256_CAMPMIC_INT_NY47
W46 UART5_CTS_N/GPI0_255
UART3_CTS_N
UART 5 _RTS_N/GPI0_256
VDD_ODIO_BIAS_SD ~A=W~Bf--_ _ _ _
QJ t - - - - - - - - - - - - - - - ,
+l r - - - - - -=JT=A=Gf--_ _ _
703
~~ f-------1
lU'--------------1 1'

LO ------J

GPI0_206_BT_WAKEUP_AP AK43
GPI0_207_AG_INT BE44
"''-------1 UARTB_TXD
GPI0_206/CLKIN_AUX
GPI0_207 Q) r-----~B=O~O=T~C=T=R=L¡-_ _ __,
"'"w
'-----1
44
UART3_RTS_N
UART5_RXD/GPI0_257
s::
·r-1
JTAG_MODE
JTAG SELO
AK45
AK47
AJ46
JTAG_MODE
JTAG_SELO
JTAG_SELl
® TP701
18} TP702
"--------1 UART3 _RXD JTAG_SELl 1gj TP704
GPI0_208_TYPEC_DP_EN AJ42 GPI0_208/ISP_CLK3 {J BOOT MODE r-= BE
= 3=--8¡ -_ _B_O_O_T~ M
_O_D
_E_ _ _ __ GPI0_258_Hill03_PWRON W48 UART 5 _TXD/GPI0_258 JTAG_TCK_SWCLK/GPI0_178 AJ44 JTAG_TCK
~ TP703
GPI0_212_TP _INT_N
GPI0_213_KEY_UP
BC40
BB45
GPI0_2 l2
GPI0_213
ro
ll,..t
BOOT_UFS/GPI0_018/SPDIF BG
DFT_EN r-=
34
BB==
DFT EN
4 1~ - - - ~ - - - - - - ------;@, TP710
"'
BF 45
UART3_TXD
UART6_CTS_N/GPI0_251
JTAG_TMS_SWDIO/GPIO_l79
JTAG_TRST_N/GPIO_l80
AH43
AG48
JTAG_TMS
JTAG_TRST_N ~ TP705
(2sj TP706
GPI0_225_GPS2SH_RESP AM45 GPIO 225 39 AJ48 JTAG_TDI
GPI0_226_CODEC_AI_INT BA44 GPI0_226 J.-1 ¡ -_ _ _T_E_s_T_M_º_º_E_I_G_P_I_º_-_º_º_1_, Bo
"' PMUI2CO_SCL JTAG_TDI/GPI0_181
AH47 JTAG_TDO ~ TP707
~G=P~I=--0==2=5=2~AN==T=D==E=T=l~---sc-=B=D~4=3---, UART6_RTS_N/GPI0_252 JTAG_TDO/GPIO_l82 1gj TP708
GPI0_227_GPS_WAKEUP_SIAR48 GPIO 227 Q) L_TE
¡ - -_ _ _ _ _ C
_T_R
_L_ _ _ _---< TP71 "' PMUI2CO SDA
GPI0_245_SPK_SMARTPA_Ull6 GPI0_245 +J LTE_GPS_SYNC , AN
_ 4_---,--C_D_MA~_G_P_S_S_Y_N_C
~ __ DEBUG_UART6_RXD • -~_B_F_4_3, UART6_RXD/UARTO_RXD_M USIM
_ GPS_REF _CLK AV41
GPI0_263_AP _WAKEUP _WL AL46
GPS_REF /GPI0_248
PWM_OUT1/GPI0_263
s::
•r-1
G_GPS_SYNC/CDMA_GPS_SYNC
LTE_INACTIVE/GPI0_264 , AP _ 4_ :----,,-
, - -G_P_I_0~ 2_6_4_ NF __ C_ l __
TP712 (8¡;,>--- - - - - - - - - - - - - ~
DEBUG_UART6_TXD •
""--------1
BH43
GPI0_2 53 /UART_RXD_MHS
UART6_TXD/UARTO_TXD_M
1=
USIMO_CLK/GPIO_l66 i-=B=D=
JTAG_TDI_SD 1----_//
1 --,------~U_S~I_M_O~~C_L_K_

BH 4 l GPIO_TEST FRAME_SYNC/UART_RXD_BBP ¡-~JI PLACE OUT OF SHIELD " "--------1 GPI0_254/UART_TXD_MHS 12=/ --,---------=U~S._.I=M=O==R=S=T~
USIMO_RST/GPIO_l67 i-=B=C=
UART_CTS_N_MHS , AT 45 UART_RXD_UC/GPI0_249 JTAG_TDO_SD 1----_/
¡-------
S_P_I _ A_0 _ _ _ _------1 M LTE_RX_ACTIVE/GPI0_265 AP 4 ~
GPI0_265_LTE_RX_ TIVE BA 42 UART_TXD_UC/GPI0_250 12=/--r-_ _ _ _ _U
USIMO_DATA/GPIO_l68 c-=B=E= =--S
=I =
M=O~ D=A=T=A
~
AA 44
SPIO_CLK/GPI0_228 C/) UART_RXD_BBP/UART_RXD_MHS 1--_/ JTAG_TRST_N_SD 1--_/
AB 43 GPI0_266_LTE_TX_ TIVE C702
SPIO_DI/GPI0_229 r'\ LTE_TX_ACTIVE/GPI0_266 AN 4 ~ NFC IO VDD_ODIO_BIAS_USIMO AY 9 220n
1

AA 42
SPIO_DO/GPI0_230 ..... UART_TXD_BBP/UART_TXD_MHS 1----_/ _N_F_C~__S_W_I_O_ _ _ _ _ _ _ _B_H_3_3----1 SWP IO
_ G_P_I_0___2f--
3_l ___L_C_D___I_ D
_ l _ _ _~ Y~4=5,
SPIO_CSO_N/GPI0_231 ISM_PRIORITY/GPI0_267 ¡-= A=U~4=~--,-----~G=P.._...I=0__..2~6=--7:.......C=C=~I~N=T:....=: USIMl CLK/GPIO 169 BEl 4
_ G_P_I_0___2_ 3_2_AN _ T_D _E_ T_ _ _ _Y_4_3,
SPIO_CS1_N/GPI0_232 UART_RTS_N_MHS 1--_/ - JTAG_TCK-_SD 1----_ / /
~I=3~C=l=S==C=Lf--_ _ _ _ _ _~B=D~4=1, SPIO_CS2_N/GPI0_239 USIM1_RST/GPIO_l70 BDl/
PMUI2Cl_SCL/I3Cl_SCL
"''-------1 IO PERI JTAG_TMS_SD 1----_/
_I_3_C_l_S_D_A_ _ _ _ _ _ _~ A_T_4_7 , SPIO_CS3_N/GPI0_240 LCD_TEO/GPI0_00 3 BFl 7 GPI0_003_22 6_LCD EO USIMl_DATA/GPIO_l71 BCl 4
" PMUI2Cl_SDA/I3Cl_SDA GPI0_ 016 i-=
BB
= 3=--3f--_~G_P_I_O~Oc--lc-c6=L_C~D
__I_D~O_ VDD- ODIO- BIAS - USIMl AYll
FP _SPI2_CL~722 A
~2~- - t = AE 4=
=-=- 2 7 SPI2_CLK/GPI0_214 GPIO_Ol 7 BE18 GPIO_Ol 7_SCAM_RS N
" I3C3_SCL/SPI4_CLK GPI0_ 074 BG36 GPI0_074_CODEC_R N
_ F_P~ S_P_I _2_ M_I_S_O
_ _ _ _ _i-A~G~4=2, SPI2_DI/GPI0_215 GPI0_ 075 BD37 GPI0_075_CAMPMIC N
"' I3C3_SDA/SPI4_DI
_F_P___S~P_I_2_M~O_S~I_ _ _ _ _~ AF~4=3, SPI2_DO/GPI0_216/SPI4_DO
_ F_P~ S_P_I _2_ C_S~ N_ _ _ _ _,"_A_G_4_4, SPI2_CSO_N/GPI0_217
"--------1 SPI4_CSO_N
_ G_P_I_0_ 2_ 1_8_ C_H_G_ I_ N
_ T_ N_--s-_AF
_ 4~5, SPI2_CS1_N/GPI0_218
"' SPI4_CSl_N
~G_P_I_0~2_1_9_N_F_C
__I_N_T_ _~=
AF=--4= 7-'------j SPI2_CS2_N/GPI0_219
"' ISP_GPI011/SPI4_CS2_N VOUT18_1V8 R702 , 1 . SK I2CO SCL VOUT18_1V8 R743 . SK I3Cl_SCL
_. GPI0_220_KEY_WDET AG46 SPI2_CS3_N/GPI0_220
C7 O8 ,L....C
- l:.::5=-º*- - - - - - - , "''-------1 ISP_GPI012 / SPI4_CS3_N R701 A1 • SK I2CO SDA R742 .SK I3Cl_SDA
' 1
l~P_SPI3 ~-K R721 ~ 2 . ,____.AD=4'---' 7---I SPI3_CLK/GPI0_233
L....CT=P'--"S~P-----I=3=M=I=S=-------~AE=4~6---1 SPI3_DI/GPI0_234 VOUT18 1V8 R740 ,1 . SK I2Cl SCL
_ T_P___S_P_ I _3 _ M_O_S_I_ _ _ _ __ AD _ 4_5, SPI3_DO/GPI0_235
~T=P__~S=P~I=3__~C=S~0~N~----=AE~4=8, SPI3_CSO_N/GPI0_236 R741 , ,1 . SK I2Cl SDA
_ G_P_I_0_ 2_ 3_7_ F_P~ I_N _ T_ _ _,"_AE
_ 4_4, SPI3_CS1_N/GPI0_237
"------1 I3CO_SCL
~G_P_I_0~2_3~8_F_P~~R~S_T_N_ _~AD=--4=3=-----, SPI3_CS2_N/GPI0_238 VOUT18 1V8 R707 ,1 . SK I2C3 SCL
"' I3CO SDA
R706 , I2C3 SDA

VOUT18 1V8 R704 . SK I2C4 SCL

R705 1.SK I2C4 SDA

VBUCK8 1V8 R710 1.SK I2C6_SCL

R703 I2C6_SDA

0300
HI3680V100
RF IO ANT SEL
CHO_MRX_ I AJ4 ABB_CHO_MRX_I BC36 GPIO- 035 - LA R_EN
ANTPA_SELOO/GPI0_03S
CHO_MRX_Q AHS ABB_CHO_MRX_Q GPS_BLANKING
/
BE36 FLASH_MASK
ANTPA_SEL01/GPI0_036
CHO_RXA I AN6 ABB_CHO_RXA_I FLASH_MASK /
CHO_RXA_C AMS KS For 03405/04 103
ABB_CHO_RXA_Q ANTPA_SEL02/GPI0_037
CHO RXB - I AMl ABB_CHO_RXB_I
CHO - RXB- Q AN2 ABB_CHO_RXB_Q ANTPA_SEL03/GPI0_038 H3
CHl_RXA_I AL4 ABB_CHl_RXA_I FE6_MIPI_CLK
CHl_RXA_Q AKS ABB_CHl_RXA_Q Hl VOOT18 1V8
ANTPA_SEL04/GPI0_039
CHl_RXB_I AL2 ABB_CHl_RXB_I FE6 - MIPI - DATA/CH2 - APT - PDM
/
CHl - RXB o AK3 ABB_CHl_RXB_Q ANTPA_SELOS/FES_MIPI_CLK J4
CH4_RXA_I W2 ABB_CH4_RXA_I inside water detect
GPI0_040/RFIC1_MIPI_CLK
CH4_RXA_n V3 ABB_CH4_RXA_Q CHO_APT_PDM
CH4_RXB_ I vs ABB_CH4_RXB_I ANTPA_SEL06/FES_MIPI_DATA J2 For 04301/04 401
CH4_RXB_1 ) W4 /'
ABB_CH4_RXB_Q GPI0_041/RFIC1_MIPI_DATA JI
CHO - TX- IN
- AFl ABB- CHO - TX- IN
o CHl APT PDM
-
ANTPA_SEL07/GPI0_042
- K3 GPI0_042_RF - DPDT For 03803
CHO_TX_I_P - r-1 GPI0_043_RF
AG2 ABB_CHO_TX_I_P ANTPA_SEL08/GPI0_043 L4 - SP4T
CHO_TX_Q_N AHl ABB_CHO_TX_Q_N MS GPIO- 044 - RF - SP4T
ANTPA_SEL09/GPI0_044
CHO - TX- O- P AJ2 B9 GPI0_045_RF - SP4T For SA 0302
ABB_CHO_TX_Q_P ~ ANTPA_SEL10/GPI0_04S

AD3 ABB- CHl - TX- IN


-
o ANTPA_SEL11/GPI0_046
ANTPA_SEL12/GPI0_047
GlO
Fll
GPI0_046_RF - SP4T
For 03403 N
o
(V)
o
-
AE2 ABB_CHl_TX_I_P ANTPA_SEL13/GPI0_048 H9 For 03602 (X) (X)
-
ADS ABB_CHl_TX_Q_N [' ANTPA_SEL14/GPI0_049 N6 GPI0_049_RF - DPDT For 03802 ii: ii:
AE4 ABB_CHl_TX_Q_P Kl GPI0_209_SIM_ ET
ANTPA_SELlS/GPIO_OSO
GND L2 GPIO_OSl_LCD_RST GPI0_220_KEY_ ET
AC2 QJ ANTPA_SEL16/GPIO_OS1
M3 GPI0_052_MCAM2_R N
ABl
ABB_CH2_RXA_I
ABB_CH2_RXA_Q o ANTPA_SEL17/GPIO_OS2
ANTPA_SEL18/GPIO_OS3 N4 iC

AC4
ABS
ABB_CH2_RXB_I ro ANTPA_SEL19/GPIO_OS4 G2
/
l9
~
ABB_CH2_RXB_Q ~ FE6_MIPI_CLK
place near KEY
.-1
place near SIM
AA2 ABB_CH3_RXA_I ANTPA_SEL20/GPIO_OSS HS
Yl ABB_CH3_RXA_Q
J.-1 FE6_MIPI_DATA /
AA4 ABB_CH3_RXB_I
QJ ANTPA_SEL21/GPIO_OS6 K7 1 ~ 58012
FES_MIPI_ CL lf) \O
YS ABB_CH3_RXB_Q .µ FES - MIPI - CLK / o
(X)
o
(X)
U2
Tl
ABB_CHS_RXA_I
ABB_CHS_ RXA_Q
s:: ANTPA_SEL22/GPIO_OS7 G4
/
1 , ~802 2 FES - MIPI - DA ii: ii:

U4 ·r-1 -
FES - MIPI DATA
N2
ABB- CHS - RXB- I ANTPA_SEL23/GPIO_OS8
TS ABB_CHS_RXB_Q ANTPA_SEL24/GPIO_OS9 D11 GPIO- 059 - RF24 - SP

RF CTRL
rz CHl - APT- PDM
BS
/

ABB_CLK_ 38M4 AR4 ~ ANTPA_SEL2S/FE3_MIPI_CLK


/
ABB_TCXO_IN GPI0_060/RFIC1_MIPI_CLK
GMSK_PHO L6 GMSK_PHO/GPI0_004 ANTPA_SEL26/FE3_MIPI_DATA C6
GPI0_061/RFIC1_MIPI_DATA
/
ET ANTPA_SEL27/GPI0_062 G6
ABB- CHO - ET N AG4 ABB_CHO_ET_N FE4 - MIPI - CLK /
ABB- CHO - ET- P AFS ABB_CHO_ET_P ANTPA_SEL28/GPI0_063 J6 GPI0_063_RF28_SP,,,
FE4_MIPI_DATA / or 03603
RF MIPI ANTPA_SEL29/GPI0_064 FS
FEO_MIPI_ CLK F7
FEO - MIPI - CLK/GPIO- 066 FE3 - MIPI - CLK
/
FEO - MIPI - DATA E6 FEO_MIPI_DATA/GPI0_067 ANTPA_SEL30/GPI0_06S DS For 03504
FEl_MIPI_ CLK B7 FEl - MIPI - CLK/GPIO- 068 FE3_MIPI_DATA /
FEl - MIPI - DATA es FEl_MIPI_DATA/GPI0_069
FE2 MIPI - CLKR807 , 51 ES FE2_MIPI_CLK/GPI0_070 RF OTHER
FE2_MIPI_DATA R808 , • 51 F9 FE2_MIPI_DATA/GPI0_071 ABB- !BIAS- EXT AR2 ABB_IBIAS_EXT R801 , ,?2K 1 %
RFICO - MIPI - CLK C4 RFICO_MIPI_CLK/GPI0_072 ABB_TEST AN4 ABB_TEST @ TP801
RFICO_MIPI_DATA E4 RFICO_MIPI_DATA/GPI0_073 ABB_VREF APl ABB_VREF
.-1 N
o o
(X) (X)
tJ tJ

z
O
::>
N
.-1 •
~-N

U300 U300
U300 HI3680V100 HI3680V100
HI3680V100 AVl VSS_BO VSS_l41 BB39 D43 VSS_202 VSS_262 K37
SG902 AGlO AVll BB47 D7
' 1 1--- - - - "
t/l'--' AVSS_PLL_CPO_B VSS 2 O r- A_A4_0_ _ _~ vss 81 VSS_142 VSS_203 VSS_263 K41
VSS_21 AB 39 AV17 VSS_82 VSS_l43 BB9 D9 VSS_204 VSS_264 K9
L40 AVSS_PLL_FIX VSS_22 AC 22 AV21 VSS_83 VSS_144 BClO E20 VSS_205 VSS_265 L12
VSS_23 ¡-=A=C=2_,_ 4 _ _ ---------1 AV23 vss 84 VSS_145 BC2 E22 vss 206 vss 266 L16
f----~AA=l=-07 AVSS_ABB_l VSS_24 AC 26 AV25 VSS_85 VSS_l46 BC20 E32 VSS_207 VSS_267 L20
f------= = 67
AA AVSS_ABB_2 VSS 2 5 ¡-= A=C-=- 4-=-
º - ----------1 AV27 vss 86 VSS_147 BC30 E36 VSS_208 VSS_268 L22
f----_..AB=3 7 AVSS_ABB_3 VSS_26 i------A=C~4= 8 -----------1 AV29 VSS_87 VSS_l48 BCB E48 VSS_209 VSS_269 L24
f------=AB=97 AVSS_ABB_4 VSS_27 ADl AV3 VSS_BB VSS_149 B019 F13 VSS_210 VSS_270 L26
f----~A~C~l=07 AVSS_ABB_5 VSS_28 5 -----------1
f----"AD..,_..l~ AV33 vss 89 VSS_150 B029 F15 vss 211 vss 271 L28
f----_..A=C=-67AVSS_ABB_6 VSS_29 i------AD= 4 =1 -----------1 AV5 VSS_90 VSS_l51 B03 F17 VSS_212 VSS_272 L30
f------= = 97
AD AVSS_ABB_7 VSS_30 ¡-= A=E 1-=- º - ----------1 AV7 VSS_91 VSS_152 B031 F27 VSS_213 VSS_273 L34
f----_..AE..._..67
AVSS_ABB_B VSS_31 AEl 6 AW14 VSS_92 VSS_l53 B07 F3 VSS_214 VSS_274 L42
37
f--_ __..AF.._..
AVSS_ABB_9 VSS_32 AE 2 0 AW16 VSS_93 VSS_l54 BE28 F33 VSS_215 VSS_275 LB
f------= A=G-=-67
AVSS_ABB_lO VSS 3 3 ¡-= A=E 2 -=- 8- ----------1 AW18 VSS_94 VSS_155 BE4 F35 vss 216 vss 276 Ml
f----_..AH..._.,37
AVSS_ABB_ll VSS_34 AE 32 AW20 VSS_95 VSS_l56 BE46 F39 VSS_217 VSS_277 M23
==-97 = 3 -=-4- ----------1 AW32 BE6 F43 M43
f------= AH AVSS_ABB_12
A=J-=-67
f - - - ---= AVSS_ABB_13 o
VSS_35
VSS_36
i------
AE
¡-=AE= 3 -=-
6-----------1 AW34
vss 96
VSS_97
VSS_157
VSS_l58 BFl Gl4
VSS_218
VSS_219
o VSS_278
VSS_279 M45
f----_..AK=97 AVSS_ABB_l4 VSS_37 AE 4 0 AW36 VSS_98 o VSS_l59 BFll Gl6 VSS_220 r-1 VSS_280 M7
r-1
f------= = 67
AL AVSS_ABB_15 VSS 3 8 ¡-= A.._.. 5 _ _---------1
F l _... AW40 VSS_99 r-1 VSS_160 BF13 GlB vss 221 vss 281 Nl4
f----_..AM=37 AVSS_ABB_16 VSS_39 3 -=.
l--"AF.._.., 9_ _---------1 AW42 VSS_lOO VSS_l61 BF21 G32 VSS_222 VSS_282 N16
f------= AM=97
AVSS_ABB_17 VSS 40 i------
A=J= 1= 2- ----------1 AW48 vss 101 VSS_162 BF27 G34 VSS_223 VSS_283 NlB
AVSS_ABB_lB
f - - - -~AN=l=07 VSS_41 AJ2 0 AW6 VSS_l02 VSS_l63 BF39 G40 VSS_224 VSS_284 N20
f----_..AP.._..3 7
AVSS_ABB_19 VSS_42 AJ 24 AYl VSS_l03 VSS_l64 BF41 G42 VSS_225 VSS_285 N44
f------= AP 57
.._..
AVSS_ABB_20 VSS 43 ¡-= A=J =2 -=-6- ----------1 AY21 VSS_104 VSS_165 BF5
BF7
G44 vss 226 o vss 286 N48
f----_..A=T~l7 AVSS_ABB_21 VSS_44 AJ 2 B AY25 VSS_l05 VSS_l66 GB VSS_227 r-1 VSS_287 NB
f------= A=T=37
AVSS_ABB_22 VSS 4 5 i------
A=J=- 3 -=-
º - ----------1 AY27 vss 106 VSS_167 BGlO Hll VSS_228 VSS_288 Pl
AT 5AVSS_ABB_23 QJ VSS_46 f----"A~J~ 3= 2 -----------1 AY39 VSS_107 VSS_168 BG14 H13 VSS_229 vss 289 P39
QJ
f-----~p=3 7
f---- - ~R=27
AVSS_ABB_24
o VSS_47 AJ 36
3 _...
AY41
AY7
VSS_lOB QJ
o
VSS_l69 BG18
BG2
H15
H17
VSS_230
o VSS_290 P41
P43
f-----~R~47
AVSS_ABB_25
AVSS_ABB_26 ro VSS 48
VSS_49
¡-= A=J _...
i------A~J~4=
B_ _---------1
0 -----------1 B1
VSS_109
VSS_llO
ro
VSS_170
VSS_l71 BG20 H21
vss 231
VSS_232 ro
vss 291
VSS_292 P5
R6AVSS_ABB_27 ~ VSS 50 i------AK=l_ _ __, B13 VSS_172 BG22 H25 VSS_233 VSS_293 P7
vss 111
~ ~
f---- - ~T~37 AVSS_ABB_28 ~ VSS 51 AKl 5 B23 VSS_l12 VSS_l73 BG28 H31 VSS_234 vss 294 R24
f-----~T~77 AVSS_ABB_29 QJ VSS_52 AK 39 B3 VSS_l13 ~ VSS_l74 BG30 H33 VSS_235 ~ VSS_295 R26
f---- - =T-=-97AVSS_ABB_30
.µ VSS_53 i------
AK = 4 =1- ----------1 B35 vss 114 QJ VSS_175 BG32 H35 VSS_236 QJ vss 296 R28
H37
f----~º=1-=-º7 AVSS_ABB_31 VSS_54 ALlO B43 VSS_ll5
.µ VSS_l76 BG4 VSS_237 .µ VSS_297 R30
06AVSS_ABB_32 ~ vss_55 i------AL= 1 =-
6-----------1 B47 VSS_l16 VSS_l77 BG46 H39 VSS_238 VSS_298 R32
f---- - ~ V-=-97
AVSS_ABB_33 ·r-1 VSS 56 ¡-= A=L-=- 4-=-
º - ----------1 BA12 VSS_117 ~ VSS_178 BG48 H41 VSS_239 ~ vss 299 R34
f-----~W=67 AVSS_ABB_34 VSS_57 AL 4 B BA14 VSS_llB ·r-1 VSS_l79 BG6 H7 VSS_240 ·r-1 VSS_300 R36
f---- - =y=37 AVSS_ABB_35 VSS_58 i------
AM = 1 =- 5- ----------1 BA16 VSS_119 VSS_lBO BHl JlO VSS_241 vss 301 R42
f----- =Y-=-97 AVSS_ABB_36 VSS_59 ¡-=AN= 1= 2 -----------1 BA18 VSS_l20 VSS_l81 BHll Jl2 VSS_242 VSS_302 R44
VSS_60 i------AN= 1 =-
6-----------1 BA24 VSS_l21 VSS_l82 BH13 J20 VSS_243 VSS_303 R46
f------= A=1=27 VSS_l VSS 61 AN 2 0 BA26 VSS_122 VSS_183 BH15 J22 VSS_244 vss 304 RB
f----_..A=l~4 7 VSS_2 VSS_62 AN 22 BA28 VSS_l23 VSS_l84 BH23 J24 VSS_245 VSS_305 T39
Al 6 VSS_3 VSS_6 3 i------
AN= 2 =-
8 -----------1 BA32 vss 124 VSS_185 BH27 J26 VSS_246 vss 306 T45
f - - - - -~A~ 27 VSS_4 VSS_64 AN 32 BA34 VSS_l25 VSS_l86 BH3 J28 VSS_247 VSS_307 012
A2 o vss_5 VSS_65 i------AN= 3 ~4-----------1 BA36 VSS_l26 VSS_l87 BH35 J30 VSS_248 VSS_308 014
f------= A=2=87 VSS_6 VSS 66 ¡-= A= N 3 =-6- ----------1 BA38 VSS_127 VSS_188 BH37 J38 VSS_249 vss 309 016
f------=A=3-=-º7 VSS_7 VSS_67 APl 5 BA40 VSS_l28 VSS_l89 BH39 J40 VSS_250 VSS_310 018
A34 VSS_B VSS 68 i----"'
AP.._..3 -=.
9_ _---------1 BA6 vss 129 VSS_190 BH45 J42 VSS_251 vss 311 020
f - - - ---=A=3-=-67 VSS_9 VSS 69 ¡-=AP,._,_ 7 _ _ __, BAB VSS_130 VSS_191 BH47 J48 vss 252 VSS_312 036
A3 B VSS_lO VSS_70 i--"AR= 1= 2 -----------1 BBll VSS_l31 VSS_l92 BH7 JB VSS_253 VSS_313 Vl
f---- - ~ 47
A_,_ VSS_ll VSS_71 ARl 6 BB13 VSS_132 VSS_193 Cl2 Kll VSS_254 vss 314 WlO
A42 VSS_l2 VSS_72 AR4 0 BB15 VSS_l33 VSS_l94 C20 K13 VSS_255 VSS_315 W22
A46 VSS_13 VSS 73 i------AR= 6- - - - < BB17 vss 134 VSS_195 C24 K15 VSS_256 vss 316 W24
A4 B VSS_14 VSS 74 AT 7 BB19 VSS_135 VSS_196 C36 K17 vss 257 VSS_317 W26
AB VSS_l5 VSS_75 l--"A~0= 2- - - - < BB21 VSS_l36 VSS_l97 C48 K19 VSS_258 VSS_318 W28
f---- ~ AA= 1=27 VSS_16 VSS_76 i------
A= 0 =2 =.
6_ _---------1 BB25 VSS_137 VSS_198 D13 K31 VSS_259 vss 319 W32
AAl 4 VSS_l7 VSS_77 A0 4 BB29 VSS_l38 VSS_l99 D23 K33 VSS_260 VSS_320 W34
f----~AA=1=- 87 VSS_l8 VSS_78 6- - - - <
i------A=º=- BB35 VSS_l39 VSS_200 D29 K35 VSS_261 VSS_321 W40
AA2 0 VSS_19 VSS 79 ¡-= A= 8- - - - <
º -=- BB37 VSS_140 vss 201 D3
10.Hi6421 LDO
Default Sleep
,I ClOOl lu
BUCKO SYS_PERI 0.75V/12A
VBAT SYS K19 VSYS VPP
L17 VOUT_PMUD_1V8
BUCKl SYS_DDR 1.12V/4A VCOIN M12 VCOIN_2V5

VDD_BUCK- BOOST K20 N19 VOUT9_SDI0_1V8/2~ 5


NEAR END CAP NEAR PMU
SYS_POWER 1.3V/4A VIN_LDO_Hl_l OUT9
BUCK2 Cl003 lu VOUTll_USIMO_lVS 95
' 1

C1002 lu
L19
L20
VIN_LDO_H1_2
VIN_LDO_Hl_3
UlOOO OUTll
OUT12
R22
P22 VOUT12_CAM_DR D_ 8
BUCK3 SYS_POWER 1.955/4A F6 VIN_LDO_H2_1 HI6421V700 OUT14 D8 VOUT14_2V85
Cl043 F7 VIN_LDO_H2_2 OUTlS E6 VOUT15_2V95
SYS_MODEM 0.8V/4A OUT16 M22 VOUT16_1V8/2V ■f--V---=C---=O-=I=N=2~V=5_ _ _ _ _ _ _ _C.._.1,:_0oo__c6.._.1""------jl l- l ~O U~-------01
BUCK4
1 '

A19 DGND_l OUT17 N22 VOUT17_TP_AVD


AZO DGND_2 OUT23 L22 VOUT23_3V2
BUCK5 SYS_GPU_L2 0.8V/2A A22 DGND 3 OUT24 K21 VOUT24_2V8 VOUT12_CAM_DRVDD_2V8 _
____:_..:::..=--=--=c.=...-=::..:...::..:.:..:..::c.,:c...,,__,=._ C1015
____,:c.=..:=--""-----1 lu
------"'-=-------1 1 '

B7 DGND 4 OUT27 D11 VOUT27_1V8


B21 DGND_S OUT34 ES VOUT34_3V3 VOUT14_2V85 C1030 lu
BUCK6 SYS_DDR_Core 0.752V/2A D4 E7 VOUT_PMUH_2V9 1 '

DGND_6 OUT_PMUH
' 1

VOUT16_1V8/2V95 C1039 4 . 7UF


BUCK7 SYS_DDR 0.62V/2A VBUCK3 1V95 B14 VIN_LDO_ 1P95_1 OUT2 B16 VOUT2_1V8 VOUT17_TP_AVDD_3V3 C1020 4.7UF 1 '

Cl004 lu BlS VIN_LD0_1P95_2 OUT3 AlS VOUT3_1V8 1 '

' 1

Cl4 VIN_LDO_lP95_3 OUT4 D15 VOUT4_LCD_IOVDD_ 85 VOUT24_2V8 C1031 4.7UF


BUCK8 SYS_DDR_UFS- IO 1.805V/3A ~ ClS VOUT5_1V8 VOUT27_1V8 Cl032 lu 1 '

o OUTS
D5 DGND 7 OUT7 E21 VOUT7_1V8 VOUT34_3V3 C1033 4 . 7UF 1 '

BUCK9 SYS_POWER 0.9V/3A D19 DGND_S OUTS A16 VOUT8_1V8 VOUT_PMUH_2V9 C1018 2.2u 1 '

E4 DGND_9 OUT18 A13 VOUT18_1V8 1 '

E9 DGND_lO
a, OUT21 H18 VOUT21_CAM_IOVDD va VOUT2_1V8 C1034 4.7UF
LDOO SYS_PHY_PLL 0.75V/0.6A E18 DGND_ll
u Cl8 VOUT26_1V7/1V35 VOUT3_1V8 Cl042 4.7UF 1 '

F4 DGND_12
ns OUT26
OUT28
Cl7 VOUT28_1V8 VOUT4_LCD_IOVDD_lV85 Cl044 4.7UF 1 '

~
LDOl SYS_RFIC 1.09V/0.35A G4 DGND_13 1--1 OUT29 A14 VOUT29_TP_IOVDD_ 1 '

G6 DGND_14 a, OUT_PMUA K17 VOUT_PMUA_lVS VOUT7_1V8 Cl045 4. 7UF I,


G7 DGND_lS
.µ OUT_PMUD K16 VOUT_PMUD_1V8 VOUT8_1V8 C1047 lU
LD02 SYS_HISEC_EFUS~ 1.8V/0.2A GND GS s:: B18 LDO_BUFF_lVS VOUT18_1V8 Cl013 4.7UF 1

'

DGND_16 H LOO BUFF


VOUT2l_CAM_IOVDD 1V8 Cl048 4.7UF ::
LD03 SYS_RF_LNA 1.8V/0.36A VBUCK9_0V9 Bll VIN_LDO_ OP9_1 .-1 OUTO B12 VOUTO_OV75 VOUT26_1V7/1V35
------'-~==~~~~~'----------=""-'""-"""-'"' C1028------j 2.2u - -- ------j 1

ClOll lu Cll VIN_LDO_OP9_2 u OUT30 A12 VOUT30_0V75


VOUT39_0V8
____,V--=
_ O--=U_,,T_,,,2--=8.....,,1:.V
. .:--=8:.___ _ _ _ _ __:C
.._.l"-'0"--4=-9~ ---=4---'.---'
- 7---=
- U=F- ---' I
Cl2 VIN_LDO_OP9_3 C/l OUT39 All
LD04 PERI_TPLCD_IO 1.8V/0.35A H AlO VOUT40 ova
OUT40 ____,V--=
_ 0--=U--=T= P=MU
= A,.__,,1:.V
. .:--=8'----------------'C
.._.1,:_:0.._.2~4=------j --=
1 º= - - - -- 1 I
~ ------'V--=
- 0---=U-=T= P=MU
==D---=1:.V . .:---=8,_____ _ _ _____:C
.._.l,,_0.._.3.._.5~ --= 1 º= - - - - - - - ' I

LD05 SYS_ADC_DDR 1.8V/0.12A VBUCK2 1V3 F20 VIN_LDO_lP3 1 OUTl_l G21 VOUT1_1V09 LDO_BUFF_1V8
~--=---==---==~~~------=""-'------"'------j C1036 2.2u - - - ------j 1

Cl005 lu Gl9 VIN_LD0_1P3_2 OUT1_2 G22


G20 VIN_LDO_lP3_3 OUT22 H22 VOUT22_TCAM_DVDD ------'-V--=-0--=-U-=T---=0___,.0---'-V---'-7-=5_ _ _ _ _ _ __:C.._.1, _0.._.5. _0"'------j ---=4---=._7---=U=F------' I
LD06 SYS_ABB_AVDD 0.87V/0.8A H20 J22 VOUT25_LCD_DIG_l _V _.:__::_
OU -=-T= 3 "-' O_ :0:c_V .:_7-=--:5:::..__ _ _ _ _____,C::c.1:~0~5~3~ - --=- • -'7---U
4 ..:_ =--=F=-----1 I
VIN_LD0_1P3_4 OUT25
OUT32 E22 VOUT32_CAM_DVDDO
LD07 SYS_ABB_AVDD 1.8V/0.4A GlO DGND_18 OUT36 D21 VOUT36_1V2 VOUT40 ova C1022
------'-~--=---=~~~------------'=""-'="'------j 4. 7UF
---------j 1

Gll DGND_19 OUT37_1 J21 VOUT37 1V2


Gl2 DGND_20 OUT37_2 K22
LD08 SYS_CODEC_RFIC 1.8V/0.15A Gl3 F21 VOUT38_UFS_VCC 1
DGND_21 OUT38_1
' 1 1--- -
Gl4 DGND_22 OUT38_2 F22 VOUT25_LCD_DIG_lV2 Cl056 4.7UF
LD09 PERI_SD_IO l.8V_2.95V/0.1A OUT41 E20 VOUT41 lVl VOUT32_CAM_DVDDO lVl C1054 4.7UF
VOUT36_1V2 Cl021 4.7UF
VBUCKl 1V12 P16 VIN_LDO_lPl OUT6 N18 VOUT6_0V85 VOUT37_1V2 Cl041 4.7UF
LDOll SYS_SIMO l.8V_2.95V/0.05A C1006 lu VOUT38_UFS_VCC_1V2 C1012 4.7UF
f - - -- ~
1

VOUT41 lVl Cl017 4.7UF


LD012 SYS_SIMl 1.8V_2.95V/0.05A MlS DRl VREF
Jl9 VREF
M16 DR2 VREF_RF Jl8 VREF_ VOUT6_0V85 Cl027 4.7UF
M18 DR3 VREF_COUL
Jl6 VREF_COUL
LD014 SYS_DAC_RF 2.85V/0.05A NlS B13 VREF_LDO_OV45
DR4 VREF_LDO_OP45 O**
VREF_LDO_OP9 H21 VREF_LDO_OV9 ¡ouT22_TCAM_D, 1V2 GND_SG1007 oo t!)

LD015 SYS_UFS 2.95V/1A PGND_DR M17 PGND_DR


ti)
VBUCK8_1V8 HS VDD_IO AGND_REF JlS AGND_REFoo C1026 4.7UF SG1007
AGND KlS
LD016 PERI_SD 2.95V/0.8A G9 L6 SG1005
DGND_17 AGND_BUCK
H3 DGND_23 AGND_LDO_Hl M20
?• LD017 PERI AVDDl 3.1V/0.2A H7 DGND_24 AGND_LDO_H2 C7
HS DGND_25 AGND_LDO_lPl N17
H9 A9 N .-1 O'I r-- 00
LD018 PERI_IO 1.8V/0.4A DGND_26 AGND_LDO_OP9 IO 10 O O O
O**
HlO D17 GND 00000 VOUTl 1V09 GND_SG1006
DGND_27 AGND_LDO_lP95 ,

.-1 .-1 .-1 .-1 .-1 RF ANA POWER ■ - ti) t!)


Hll DGND_28 AGND_LD0_1P3 F18
1

u
LD021 PERI_CAM_IO 1.8V/0.2A H12 DGND_29 AGND_OSC
B19 AGND_OSC C1040 4.7UF SG1006
H13 DGND_30 AGND_ADDA ElO AGND_ADDA
Hl4 DGND_31 AGND_ADC Ell AGND_ADC
LD022 SYS_RFIC 1.09V/0.35A H16 C20 AGND_BUFF
DGND_32 AGND_BUFF
-1<
LD023 SYS_USB3.0_PA 3.2V/0.15A -1<
o
?•
LD024 PERI AVDD2 2.8V/0.2A
Test point placed close to Front Camera Connector s s s
Subdued light using of Front Camera(200mA) .-1 M N
1.2V/0.36A o o o
LD025 PERI_LCD_l. 2V o o o
.-1 .-1 .-1
t!) t!) t!)
ti) ti) ti)
LD026 SYS_XO 1.7V/0.03A LD038 SYS_UFS3.0 1.2V/0.8A

LD027 SYS_ADC_XO 1.8V/0.03A LD039 SYS_SRAM 0 . 8V/0 . 35A

LD028 SYS_RF_MIPI 1.8V/0.12A LD040 SYS_ABB_MEM 0.8V/0.35A

LD029 SYS_USB 1.8V/0.15A LD041 SYS_CODEC 1.1V/0.15A

LD030 SYS_HS_CORE 0.75V/1A LOO BU SYS_PMU_CLK_BU 1.8V/0.03A

LD032 PERI_CAM_l.2? 1.2V/0.8A PMUA SYS_PMU_AVDD 1.8V/0.03A

LD034 PERI_MIC_FP 2.9V/0.8A PMUD SYS_PMU_DVDD 1.8V/0.03A

LD035 SYS_XO 1.7V/0.03A RF_VRE SYS_RF_VREF 0.75V/0.001A

LD036 SYS_UFS_CLK 1.2V/0.2A PMUH ?


• 1.1V/0.15A

LD037 SYS_HS_PHY 1.2V/0.6A VCOIN SYS_COIN 2.5V/A


11.Hi6421 BUCK

AT_SYS
LlllO
l------ 4il-------=L'=-
l - PVDDS_l LXS 1 1---'M
= l=---=L _PMUO ( ◊ 11~'~1 luH VBUCK5_0V8
T L2 PVDDS_2 LXS_2 M2 10100981*
::, lOu
VBAT_SYS
,-1
UlOOO L4
4rl149
1"1150 lOu
-----.tlfr
,-1 HI6421V700
,-1 Nl PGNDS_l
L1108 ' 1
GND
!-------'=-~
el . >----_.. N_.._2___j PGNDS_2 VOS_FB
R4 PVDDO_O_l LXO_O_l PS LXO O_PMUO ü ü O \ 4 70n VBUCKO_OV75 Lllll
T4 PVDD0_0_2 LX0_0_2 RS 10100957 1------ 4ll---__.!'
Kc,!,_
l _ PVDD6_1 LX6 1 l---'J,,_.,l.__-'=y¡;6_PMUO r ◊ ◊ ( \ luH VBUCK6_0V7
U4 PVDD0_0_3 LX0_0_3 TS
o
::,
::,
T K2 PVDD6_2 LX6_2 J2 1 10100981*
us
UlOOO LX0_0_4
10100989*
,-1 ,-1

R3 PVDDO 1 1 LXO_l_l P2 LXO l_PMUO ( ü ü O \ llOn h-,r---


HI6421V700 ,-1 ,-1
T3 PVDD0_1_2 LX0_1_2 R2 Lll07 ,-1 ,-1 Hl PGND6_1 V06_FB H4 VBUCK6_0 7
U3 PVDD0_1_3 LX0_1_3 T2 I 1--~G"'-N=Dc___~.., el _.>----___,.H_.._2___j PGND6_2 V06_PULL J4 BUCK6 Remote/Near FB d pend on Layout
LX0_1_4 U2 L1112
luf place ~ear PVDDO_O,PVDDO_l,PVDD0_2 seoerately LXO_l_S Ul ,___ ,____.T._..l'--'8' - PVDD7_1 LX7_1 1--T'"-"l.._.7' -"'
L4'7_PMUO I O O ( \ luH 1--- VBUCK7_0V6
R9 PVDD0_2_1 10100989* ::, 1 Ul 8 PVDD7_2 ,ql LX7_2 Ul7 1 10100981*
::, ::, T9 PB LXO 2_PMU0 1 ó O ó \ 110n ,-1
00 ::, ::, ::, PVDD0_2_2 LX0_2_1
,-1 ,-1 ,-1 ,-1 ,-1 U9 PVDD0_2_3 LX0_2_2 RB Lll06 Cll54 1--
l l_Ou_ _--------!I 1'
. LX0_2_3 TB -
, -1 ~
00
,-1 ,-1
.-100
,-1 ,-1 ,-1 R6 PGNDO_O_l
LX0_2_4 us
II r~G=N,_,__,D"--------
,-1

el
Tl6
Ul6
PGND7_1
PGND7_2
o V07_FB Pl7
GND ~'~ 1---
,-1 ,-1 ,-1
• 1, l
I"'--
T6 PGND0_0_2 L1113
U6 PGND0_0_3 El 1--------'F._.l.__-'=L 8 _PMU O O ( \ 1 uH VBUCK8_1V8
PVDDS_l LXB_l
,ql ::, E2 F2 10100981*
o ::, PVDD8_2 LX8_2
Pl ,-1 ,-1 E3 (U F3 "1128 lOu
PGNDO 1 1 PVDD8_3 LXB 3
Rl PGND0_1_2 ~ o fc1156 lOu
Tl PGND0_1_3
o VOO_O_FBP M4
MS
VBUCKO - PERI - REMO
VSS_PERI_REMOTE
1"'4,---,0-
N
,-1
N
,-1
Gl
G2
PGNDB_l ns
VOO_O_FBN PGND8_2 ~
R7 GND B ~ G3 GS
T7
PGND0_2_1
C\1 V00_2_FBP MB
' 1 1--=~----" PGND8_3 f..l VOS_FB
LllOl
PGNDO - 2 - 2 (U
U7 PGND0_2_3 V00_2_FBN M7 Al PVDD9_1 LX9_1 1--c...,1=--_...L '.Q _PMUO , O O O , luH VBUCK9_0V9
(U L1102 A2 +> C2 10100981*
Rl3
Tl3
PVDDl_l o LXl_l Pl4 LXl PMUO / O O O \ 330n
Rl4
VBUCKl_l V12
1010076~4=--=- - - - - ' -==c=...-=-"~
::,
,-1 A3
Bl
PVDD9_2
PVDD9_3 e:
H
LX9 2
LX9_3 C3
~1130 lOu

o
::,
::,
Ul3
PVDD1_2
PVDD1_3
ns LX1_2
LX1_3 Tl4 o B2
PVDD9_4
PVDD9_S 1131 lOu
,-1 ,-1 ~ LX1_4 Ul4 ,-1 B3 .1101 lOu
f..l
,-1
u
PVDD9_6 M 1102
-
RlS
TlS
PGNDl_l
PGND1_2 (U VOl_FBP Ml3 VBUCKl_DDRMEM_RE TE Dl PGND9_1
u 10~1---
- ,-1
UlS Ml4 VSS - DDRMEM- REMOT D2 tll
-
r'
,-1 PGND1_3 +> VOl_FBN PGND9_2
GND 1--- '~
Rl9 PVDD2_1
e:
H LX2_1
L1103
P20 LX2 PMUO I O O O \ 1 uH ,__ VBUCK2_1 V3
,I ¡---G
~N=D
~-- D3 PGND9_3 H
X
V09_FB FS

Tl9 R20 10100981* VB ST_ 5V B8 A7 500mALM_VDP


PVDD2_2 LX2_2 PVDD_LRA OUTP_LRA
::, Ul9 T20 ~1143 lOu ::, o
o ::, PVDD2_3 LX2_3
,-1 ,-1 C\1 U20 f1144 lOu ºo
LX2_4 ,-1 ,-1
P21 PGND2 1 u .-
-
M r
~
,....,
R21
T21
PGND2_2
PGND2_3
tll
H
~-,.._,_
N N
,-1 ,-1

GND
~ = -----'-'
r' r,~ - U21 PGND2_4 V02_FB PlB GND ;!,~ AS
PGND_LRA OUTN_LRA B9 500mALM_VDN
' 1

X L1104
' 1 f-----l,;!!'!.!,,!______l,,i f-----~~

A4 PVDD3_1 LX3_1 AS LX3 PMUO ( ◊ ó O I luH VBUCK3_1V95 Hl7 DGND_33 DGND_S3 N7


B4 LX3_2 BS 10100981* Hl9 Nll
·::, PVDD3_2 DGND_34 DGND_S4
o ::,
C4 PVDD3_3 LX3_3 es 4rl145 lOu J3 DGND_3S DGND_SS Nl2
,-1 ,-1 1'114 6 J6 DGND_36 DGND_S6 Nl3
A6 PGND3_1 J9 DGND_37 DGND_S7 N20
l
N
B6 PGND3_2 JlO DGND_38 DGND_SB N21
,-1 C6 PGND3_3 V03_FB D7 Jll DGND_39 DGND_S9 P4
,-1
,I 1--G=N=D_ __,. u L1105 Jl2 DGND_40 DGND_60 P7
Rl2 PVDD4_1 LX4_1 Pll L 4_PMUO ! ◊ ó O 1 4 7 On VBUCK4 OV7 Jl3 DGND_41 DGND_61 P9
,___ .J.,__~T'°'"l~2--1 PVDD4_2 LX4_2 Rll 10100957 Jl4 DGND_42 DGND_62 PlO
::,
--r' Ul2 PVDD4 3 LX4_3 Tll •Cll47 lOu K3 DGND 43 DGND_63 Pl2
,-1
LX4_4 Ull TC1148 K4 DGND_44 DGND_64 Pl3
RlO PGND4_1 L3 DGND_4S DGND_6S PlS
..
,
TlO Ll4 Pl9
M PGND4_2 DGND_46 DGND_66
,-1 UlO PGND4_3 V04_FB MlO L21 DGND_47 DGND_67 Rl7
, 1--~G=N=º----~ M3 DGND_48 DGND_68 T22
1

M6 DGND_49 DGND_69 U22


N3 DGND_SO DGND_70 B10
N4 DGND_Sl DGND_71 J20
N6 DGND_S2
12.Hi6421 DIGITAL INTE ACE
VOUT_PMUD_1V8
-
-
-
- -
-

ID Ltl r-
00 o :,::
NN
.-1 .-1

e<~
N
.-1 o
o
r;'
Ltl
o
N
.-1
N
o
N
.-1
o
.-1
N
.-1
BUCK BOOST
u u u
'
Vout=0.8*(l+Rl/R2)=3.467V
Ltl
.-1 z
.-1
.¡e
zo z
o Ul201 39111331
N
.-1 o
o .-1
S<...C R T_P _N .-1 Mll LlO PMU_RST_SOC_N VBAT - SYS Al 1 - BOOST
HRESET_N SYS_RST_N PVINl VOUTl
A2 PVIN2 VOUT2 2
GBij-.77_P~O_INT_~ M9 L16 s1 OK VOUT_PMUD - 1 r- O\
A3 3
PMUO_IRQ_N UFS_SEL 'Rl240 o o PVIN3 VOUT3 :,::
~ _)ROP_'RO J7 R1241 · ,, OK* N N A4 4 o (V) '<I' ID N .-1
VBAT_DROP_IRQ
01000 DFT_MODE N9
1 ' .-1
u
.-1
u
PVIN4 VOUT4 o
.-1
o
N
.-1
o
N
.-1
o
N
.-1
.-1
N
.-1
.-1
N
.-1
PMU_'\i ~us - S'NSE L13 VBUS SENSE
HI6421V700 1 '
AS VIN MODE e4
VOUT u u u u u
PWRON N K13 PWRON_N UFS2.1 SMT R1240IIUFS 3.0 SMT R1241
GPI0_.76_P _PWR_H*D L12 PWR_HOLD o
::, c5 L1201 u B1 LXl 1 EN s (V)
o i:4 ::, ::,
PMU_P,.RI_EN NlO PERI_EN
.-1 .-1 B2 LX1_2 N o o o
Ul201 - L B3 LXl 3 FB s 00
ID
.-1 .-1

VBATP - CON Fll VBATP SRP F13 SRP B4 LX1_4


SGNDl es
VBATN_CON F12 VBATN SRN F14 SRN D1 LX2 1 SGND2 s
:,::
1 ' o
D2 LX2_2 (V)
VBATP_ACK:1216 2 .2WP_ACR es o::11 F16 HKADC - INO HKADC - INO D3
VP_AeR HKADe- INO APU Board IDO LX2_3 PGNDl 1
VBATN_ACK:1217 2 .2WN_ACR e9 VN_AeR 1H HKADe_INl Gl6 HKADC - INl HKADC - INl APU Board IDl U1201 - LX D4 LX2 4 PGND2 e2

I - IN ACR
o HKADe_IN2 GlS HKADC-
HKADC-
IN2
IN3_U
HKADC - IN2 APU Board ID2 PGND3 e3 N
o
FS I_IN_AeR HKADe_IN3 D13 - TEMP HKADC - IN3 USB TEMP N
F9 o::11 e13 HKADC- IN4 HKADC - IN4 Schar e TEMP .-1
I_OUT_AeR HKADe IN4 ~
D12 HKADC- INS HKADC - INS RFICO TEMP
a, HKADe_INS
D9 eSP_AeR o HKADe_IN6 GlS HKADC- IN6 HKADC - IN6 RFICl TEMP
D10 eSN_AeR ns HKADe_IN7 ElS HKADC- IN7
IN8 - u
HKADC - IN7 AP TEMP
VBUCK8 - 1V8 1H HKADe_INS FlS HKADC- - COLO TEMP HKADC - IN8 USB COLO TEMP
FlO 1--1 E16 HKADC - IN9 RESERVERD
PGND_AeR a, HKADe IN9
' 1
E17 BATT_TS HKADC - INlO BATT TEMP
.µ HKADe_INlO
Gl7 BATT- ID HKADC - INll
r:: HKADe_INll
El4 HKADC - IN12
BATT ID
RESERVERD
H HKADe_IN12
R1234 ,1 OK -, L7 VOieE_GPIOOl HKADe_IN13 E13 HKADC - IN13 RESERVERD
LS o::11 D16 XOADC- IN XOADC - IN 38. 4M DCXO TEMP
VOieE_GPI002
u XOADe_IN

PMU_XIN_38M4 A17
C/l D20 SYS_CLK
XIN_3SM4 H SYS_eLK_3SM4
PMU_XOUT_38M4 AlS XOUT_3SM4 ~ ABB_eLK_3SM4 e19 Cl208 ln ABB_CLK_ 38M
UFS_eLK D22 UFS_REF_CLK
VOUT36 - 1V2 e22 AVDD_SYS_eLK eODEe_eLK_19M2 DlS CODEC_CLK_l 2
lu ~1211
SYSCLJ EN KS A21 RFO_CLK_38M :¡;gjTP1215
SYS_eLK_EN RFO_eLK_3SM4
JS WIFI_eLK_EN RFl_eLK_3SM4 B22
NFC- CLK- REO H6 e21 :¡;gjTP1216
NFe_eLK_EN WIFI_eLK_3SM4
NFC TX_PWR_~Q LlS NFe_PWR_EN NFe_eLK_3SM4 E19 NFC_CLK_38M VOUTS 1V8
WIFI11Sl_eLK_ 3SM4 B20
close to NFC
PMU AUXDACO SSI K9 AUXDAe_SSI AUXDAeO ES AUXDACO

XOAJ¡•C_SSI L9 XOADe_SSI SPMI_eLK K7 R1225 ,~3 SPMI - CLK


SPMI_DATA K6 R1205 · -~3 SPMI _DATA 00 (V) .-1
PMUID_NFC_ON K14 NFe_ON
O .-1 N
N N .-1
eLK32_SYS KlO R1219 . 7SYS_CLK32 2K .-1 .-1 ~
~~
GPI 1_183_SIM_ SD_DE KS SIMO_HPD eLK32_BT K12 BT_CLK32 - K

'
(V) JS SIMl_HPD eLK32_GPS Kll
(V)
N :,::
.-1
~ (!) (!)
ººº
Ltl Ltl Ltl
TP12 14 129 ' 129 TP1213 ~
.-1
~
.-1
.-1 .-1 .-1

HKADC IN2
(!)'
HKADC_INl Board ID
~
.-1 r-
'
'<I' HKADC_INO
.-1 .-1
N N
.-1 .-1
O\ N .-1
~ ~ o .-1 .-1
NNN
-
- .-1 .-1 .-1
~~~

VOUT27 1V8

:,::
o
o
Ltl
.-1
N
.-1
DCXO
.-1 u

zo X1201
o
N
N
.-1 38.4MEG 12020358
.-1
~
XOADC_IN 4
~ - ~---1 SENSOR/RTl HOT/X_TAL/XT2 c-=
3_ _ ~P=MU~~X=O~U~T~=3=8
/
/
/

P_MU
__X
_I _N_ _3_8_M
_4_______ ~1__, HOT/X_TAL/XTl
u 2 AGND_OSC
GND/RT2 >-=- --
o
(V)
N
B
en
s

.¡e
.¡e
o

12 Hi6421 DIGITAL I

,I I Cl325 1 r--
l _u _ ~ Hi6422V32022(2+2) PMU2 , 1 Cl326 1 r--
l _u _ ~ Hi6422V32021(2+1+1) PMUl
1

e 13 2 3 1 c-----=l=u'------- Route Ul301.Dl net separately e 132 4 j c-----=l=u'------- Route Ul302.Dl net separately
Ul301 Ul302
e - - HI6422V310 e - - HI6422V310
VBAT_SYS bl
- - - + - - - - - - -~'-=--j VSYS
VBAT_SYS bl
- - - + - - - - - - -~ '-=--j VSYS
' LXO_l Bl LXO_PMU2 10100980* ' LXO 1 Bl LXO_PMUl 10100980*
Al INO 1 LX0_2 B2 L 13 O4 / 0 0 0 1¡_e2"--'4'--'0c.e:n,_____ -----< VDD_CPU_ Al INO_l LX0_2 B2 L 13 O5 / 0 0 0 l¡_e2"--'4'--'0c.e:n,_____ -----< VDD_GP
A2 IN0_2 LX0_3 B3 A2 IN0_2 LX0_3 B3
U')
o A3 INO 3 LXl 1 Hl LXl PMU2 10100980* A3 IN0_3 LXl_l Hl LXl PMUl 10100980*
M A4 IN0_4 LX1_2 H2 L 13 O1 / O O O ,~2~4~0~n~ _ ____, A4 IN0_4 LXl 2 H2 Ll 3 O6 / O O O 1~2~4~0~n~ _ ____,
.-1
u LX1_3 H3 LX1_3 H3
Cl304 lOu f-- - ~C~l'---1 PGNDO_l LX2_1 H6 LX2_PMU2 10100989* Cl314 lOu f-- - ~C~l '---1 PGNDO_l LX2_1 H6 LX2_PMU1 10100980*
---~C= 2 7 PGND0_2 LX2_2 H7 L13 02 / O O O ,~l_l_O~n_ __ ---=C= 27 PGND0_2 LX2_2 H7 Ll 3 O7 r O O O ¡l_-2.._4.._0.._.n..___ _____cvo-=o----ME=M----.:C---P._U..__.L--.
D HS HS
o ____c_37 PGNDO 3 LX2_3 ,I 1------=G=N=D'---------~ f-- -=C=3----¡ PGND0_3 LX2_3
.-1
LX3_1 B6 LX3_PMU2 10100989* LX3 1 B6 LX3_PMU1 10100980*
Jl INl 1 LX3_2 B7 L1303 / 0 0 0 1~l_l_O_n_ _ VDD_CPU_B Jl INl 1 LX3_2 B7 L1308 ( ◊ ◊ ◊ 1~2_4_0_n_ _ _ _ _ _VD_D~_~C_P_U__
J2 INl 2 LX3_3 BS J2 IN1_2 LX3_3 BS
GND J3 IN1_3 J3 IN1_3
J4 INl 4 VFBOl_P 2 º VDD_CPU_M_REM TE
VSS_CPU_M_REM E
J4 IN1_4 VFBOl_P D2 VDD_GPU_REMOT
VFBOl_N o 3 VFBOl N r=D3 _ _ _ _ _ _ _ _~V=S=S~ G =P.._U
= -=REM
==º=T
C1303 lOu* ---=G=l7 PGNDl_l VFB2_P FS C1316 lOu ---=G=l7 PGNDl_l VFB 2_p FS VDD_MEM_CPU_L REMOTE
_ _ __G_27 PGND1_2 _ ?_ _ _~
VFB2_N r F f-- - =G=2'----I PGND1_2 VFB 2_N rF.._7-'------ - - - - - - ~V=S~Sc....=M=EM =-C =P
.._U
=-=c=.
L _REMOTE
VDD_CPU_B_REM TE ,I 1--___..G._._N._..D.___ _ _~ E
---=G= 37 PGND1_3 VFB3_P DS .-1 M
f---~G~3'---I PGND1_3 VFB 3_p DS VDD_CPU_L_REM oN
VFB3_N r D
_ ? _ __ VSS_CPU_B_REM E o o VFB 3_N r D.._._7_ _ _ _ _ _ _ _~V=S=S~C=P.._U=-~L,_,,RE=M~ E M
.-1
JS M M JS
J6
IN2_1
IN2_2 I2C_SPMI_CLK r F~2_ _ _ _ _ _ _ _ _ _ _~S_P_M_I___C~L_K_
.-1
p.¡
.-1
p.¡ J6
IN2_1
IN2_2 I2C_SPMI_CLK r F.._2
~ ---------~S_P_M_I___C_L_K __ ~
J7 IN2 3 I2C_SPMI_DATA r F 1- - - - - ~ - - - - -=
=--= S=P=M=Ic....cD
~A~T
= J7 IN2_3 I2C_SPMI_DATA r F_ l _ _ _ _ _~_____ S_P_M_I___D
_A_T
_A_
JS IN2_4 I2C_SPMI_SEL ~C= 4 --------, JS IN2_4 I2C_SPMI_SEL ~C= 4 _ _ _ _____, 1,

Cl302 lOu f-- - ~G~6 PGND2_1


'----I PMU EN G4 GPIO 033 P ~ EJI'! Cl318 lOu f-- - ~G~6 PGND2_1 '----I
PMU_EN G4 GPIO 034 PMUl E"'_
---=G~?7 PGND2_2 PMUl_IRQ_N F3 GPI0_205_PMtJ'r2 ,eNT ---=G~?7 PGND2_2 PMUl_IRQ_N r F.._3..__ _ _ _ _ _ _ _ _ __.G---P~I=0.._~2=0~5=P=MU=--1=2._.---N~T---.
_ _ __G_S7 PGND2_3 ,I 1------=G=N=D'---------~ f-- - =G=S----¡ PGND2_3
AS H4 -, GND_1301 oo O** GND AS GND
IN3_1 t!>
SGND2 1 f--H_s_ _ _ -----==---==--c--=-__....__s cl 1'3''"o'--1-------'="--------1
A
1
IN3_1 SGND2_1 r H_4_ _ _, GND_1307oo
A6 A6 SGND2_2 HS
1

IN3_2 SGND2_2 ~~--~ IN3_2


A7 IN3_3 SGND3_1 B4 A7 IN3_3 SGND3_1 B4
1 q:* O**
AS IN3_4 SGND3_2 fBS GND_1302 t/l GND
- - - - --e-----==---==--c--=-__..~sífi3~~0-2 - ~=-----1 AS IN3_4 _ S_ _ __. GND_1303oo
SGND3_2 r B GND
~Gl303
C1301 lOu 67
---=C= PGND3_1 AGNDO_l DS AGND_PMU2 C1319 lOu ---=C=67 PGND3_1 AGNDO_l DS AGND PMUl
_ _ __C_? 7 PGND3 2 AGND0_2 D6 f-- - =C~?----1 PGND3_2 AGND0_2 D6
---=C=S7 PGND3_3 AGND0_3 F4 ,I 1--___..G._._N._..D.___ _ _~ f---~c~s'---1 PGND3_3 AGND0_3 F4
AGND0_4 F6 AGND0_4 F6
- -=P=
M=U 2~ VD
~ O=--=
I =O_ _ _ _ _~E=17 VDDIO_l AGNDO_S GS _ _=P=
M=U l~ VD
~ O=--=
I= O_ _ _ _ _~E=l7 VDDIO_l AGNDO_S GS
- - - - - - - - - - - - ~ E =2'-, VDDI0_2 - - - - - - - - - - - - ~ E =2'-, VDDI0_2
E3
- - - - - - - - - - - --------, VPP AGNDl E6 E3
- - - - - - - - - - - --------, VPP AGNDl E6
-=P~MU~2~V~R~E~F_ _ _ _=E=S'-, VREF -~P_MU~l~V_R_E=F~---~E=S'-, VREF
to \O
D4 U') M D4
o o AGND2_1 .-1 .-1 AGND2_1
M M
AGND2_2 E4 M M
AGND2_2 E4
.-1 .-1 .-1 .-1
u u es TEST_MODE AGND2_3 ES u u -------~c=s'----1 TEST_MODE AGND2_3 ES
E7 ATEST AGND2_4 FS E? ATEST AGND2_4 FS
::,
.-1
z
o
::,
.-1
z
o
.-1 D_l 04
.¡e
GND_l 309 .¡e
.¡e
.¡e
I2C SPMI SEL .¡e I2C_SPMI_SEL
o o
.¡e .G
-11 , o o 1 o 1
tlll11
s s
s SPMI I2C ,q,
s SPMI I2C
°'oM \O
o
M U')
.-1 o .-1 o
t!) M t!) M
t/l .-1 t/l .-1
- - -
t/l -
- ' _
oo-
VDD_MEM_CPU_L_

r-

O_BUCK_B00S T
('I
~ o
o M
o Q1302 .-1
.-1
ii::
FETN-GSD
3 o
.-1
o
M
G
Q1301
FETN-GSD
3 o 2 s

PI0_034_PMU1_EN G

2 S
• •
S_TXO_M F2 DINO e DOUTO_e Kl UFS_RXO_M Bll VSSl VSS31 HS
FS_TXO - p Fl DINO_T DOUTO_T K2 UFS_RXO_P B12 VSS2 VSS32 Jl
FS- TXl - M D2 DINl_e DOUTl_e Ml UFS_RXl_M B2 VSS3 Jl2
VSS33
FS_TXl - p UFS_RXl_P
D1 DINl_T DOUTl_T M2 el
ell
VSS4
vsss
01400 VSS34
VSS35
J2
J3
All AlO e12 JS
e- ePOUTl
Place C1413/C1404 near the UFS VSS6 THGLF2G8J4LBATR VSS36
A12 e+ ePOUT2 BlO e2 VSS7 VSS37 K12
e3 vsss VSS3S K13
FS_REF_CLK VOUT15_2V95
Hl REF_eLK 01400 veel
vee2
BS
B9
D12
D13
VSS9
VSSlO
VSS39
VSS40
K14
K3
UFS_RST_N H2 es oto (V) ""'
o D14 ~ KS
RESET_N THGLF2G8J4LBATR vee3 .-! VSSll VSS41
N
vee4 e9
""'
.-! ""'
.-! ""'u
.-!
D3 VSS12 o VSS42 Ll
.-!
A9 VDDI vees ES u u El VSS13 VSS43 L12
KS E12 L2
""'.-!u C1402 lU A3
vee6
NS E2
VSS14 VSS44
L3
VDDIQ vee7 D ::1 Z VSS15 VSS45
' 1

N9 r-- r-- o E3 (1.1 M12


vees VSS16 VSS46
Cl401 2.2u AS VDDIQ2 vee9 PS . . o F12 VSS17 o VSS47 M13
z
o
' 1

veelO P9 ""' ""' .-! F13 VSSlS ro M14


VSS4S
o
.-!
E6 VSFl Fl4 VSS19 ~ VSS49 M3
E7
VSF2 veeQl A4 F3 VSS20 f..l vssso M4
E9 AS Gl (1.1 MS
TP140 VSF3 veeQ2 VSS21 VSS51
TP140 ElO VSF4 veeQ3 B4 GlO VSS22 .l,J VSS52 Nll
TP140 FlO VSFS veeQ4 BS
.¡e
T38_UF VCC_1V2 Gl2 VSS23 t:: VSS53 N12
GS VSF6 veeQS e4 ;:I .¡e .¡e
G2 VSS24 H VSS54 N2
JlO VSF7 veeQ6 es N
zo zo G3 VSS25 VSS55 N3

TP140 KlO VSFS veeQ7 ES N o o HlO VSS26 C/l VSS56 N4
PlO VSF9
(U veeQS FS H12 VSS27 C/l VSS57 NS

U300_POP B13 RFUl


o
ns veeQ2_1 A6 (V)
o
\O
o
r--
o
H13
H14
VSS2S
VSS29
> VSSSS
VSS59
Pll
P12
HI3680V100 B3 A7 H3 P4
AGlO AA40 elO
RFU2 4-1 veeQ2_2
B6
""'.-!u ""'.-!u ""'
.-!
u
VSS30 VSS60
PS
AVSS_PLL_ePU_B VSS_20 veeQ2_3
VSS_21 AB39 e13
RFU3
RFU4
f..l veeQ2_4 B7
VSS61

~_ _ _L_4_o7 AVSS_PLL_FIX Ae22 e14 (U e6


VSS_22 RFUS veeQ2_5
VSS_23 Ae24 E13 RFU6
.µ veeQ2_6 e7
~ - -=AA=l~07
~_ __._.AA= 67
AVSS_ABB_l VSS_24 Ae26
Ae40
El4
Gl3
RFU7 e: veeQ2_7 K6
K7
Place C1410/Cl-409 near the UFS
VBUCK8 1V8
AVSS_ABB_2 VSS_25 RFUS H veeQ2_S
~ ------'-'
AB= 37 AVSS_ABB_3 VSS_26 Ae4S Gl4 RFU9 O\
LO
~_ __._.AB=
~--~
97
A~e=l ~07
AVSS_ABB_4
AVSS_ABB_S
VSS_27
VSS_2S
ADl
AD15
Jl3
Jl4
RFUlO
RFUll
u Nel
Ne2
Al
A13 o
.-!
o
""'u
o
""'
~_ __._. A~e~6 7 AVSS_ABB_6 VSS_29 AD41 L13 RFU12
Cll Ne3 Al4 ""'
.-!
.-! .-!
u
~_ __._.AD= 97 AVSS_ABB_7 VSS_30 AElO L14 RFU13 H Ne4 A2
~ ------'-'
AE= 67 AVSS_ABB_S VSS_31 AE16 MlO RFU14 ~ NeS Bl ;:I .¡e z
~_ __._. 37
AF,.__... AVSS_ABB_9 VSS_32 AE20 M6 RFU15 Ne6 Bl4 N
• D o
~_ ___.. A~G~ 67 AVSS_ABB_lO AE2S M7 D4 N .-! o
VSS_33 RFU16 Ne7 .-!
~_ __._. A=8 = 37 AVSS_ABB_ll vss 34 AE32 NlO RFU17 NeS K9
~_ __._.A= 8 =97 AVSS_ABB_12 VSS_35 AE34 N13 RFUlS Ne9 Mll
~ ------ A=J =6 ~ AVSS_ABB_13 o VSS_36 AE36 N6 RFU19 NelO MS
~_ _ _._.AK=97 AVSS_ABB_l4 VSS_37 AE40 N7 M9
~_ __._. A=L=67 AVSS_ABB_l5
r-1 AF15 P3
RFU20 Nell
Nl
VSS_3S RFU21 Ne12
~_ __._. AM= 37 AVSS_ABB_16 vss 39 AF39 P6 RFU22 Ne13 Nl4
~_ __._.AM= 97 AVSS_ABB_17 VSS_40 AJ12 P7 RFU23 Nel4 Pl
~ -- ~AN= l ~07 AVSS_ABB_lS VSS_41 AJ20 NelS P13
~_ _ _._.AP,.__...
37 AVSS_ABB_19 VSS_42 AJ24 Ne16 Pl4
~_ __._. AP,.__...S7 AVSS_ABB_20 VSS_43 AJ26 Nel7 P2
~_ __._. A=T=l 7 AVSS_ABB_21 C0 VSS_44 AJ2S
~_ __._.A=T= 37 AJ30
~_ ___.. A=T=S7
AVSS_ABB_22
AVSS_ABB_23
VSS_45
AJ32 POWER UFS2.x UFS3.0
VSS_46
~ - - -~P=3 7 AVSS_ABB_24 VSS_47 AJ36
~ - -- =R=27 AVSS_ABB_25 VSS_4S AJ3S
~ -- - ~R~4 7 AVSS_ABB_26 VSS_49 AJ40
~---~R~67 AVSS_ABB_27 vss_so AKl
VCC(V) 2.95 2.5
~ - -- =T=3 7 AVSS_ABB_2S VSS_Sl AK15
~ - - -=T~7 7 AVSS_ABB_29 vss 52 AK39
~---=T= 97 AVSS_ABB_30 VSS_53 AK41
~--~º=
06
1=º ~ AVSS_ABB_31 VSS_54 ALlO
AL16
VCCQ(V) NA 1.2
~ - -- ~~7 AVSS_ABB_32 vss_ss
~---~ V=97 AVSS_ABB_33 VSS_56 AL40
~ - -- ~W~6 7 AVSS_ABB_34 vss 57 AL4S
37 AM15
~---=y= AVSS_ABB_35
r-1
VSS_SS VCCQ2(V) 1.8 NA
~ -- - =Y~9 7 AVSS_ABB_36 VSS_59 AN12

~_ _ _._.A= 1= 27
VSS_l
o VSS_60
VSS_61
AN16
AN20
A=1 ~4 7
~ ------'-'
~_ __._.A=
VSS 2
1= 67
VSS_3
EJ vss 62
VSS_63
AN22
AN2S
~ -- - ~ A=2 7
VSS_4 vss 64 AN32
~ ------ A= 2 ~0 7
vss_s VSS_65 AN34
~_ _ _._.A= 2 =S7
VSS_6 VSS_66 AN36
A=3 ~0 7
~ ------'-' VSS_7 vss 67 AP15
~------A= 3= 47
vss_s VSS_6S AP39
~_ ___.. A=3 ~6 7
VSS 9 vss 69 AP7
~_ __._. A= 3 ~S7
VSS_lO VSS_70 AR12
~ - -- =A= 47
VSS_ll VSS_71 AR16
A~4 ~2 7
~ ------'-' VSS_12 VSS_72 AR40
~_ __._.A= 4=67
VSS_l3 VSS_73 AR6
~_ ___.. A~4 =s 7
VSS_14 vss 74 AT7
~ - -- = A=S7
VSS_15 VSS_75 AU2
~--=AA=1 = 27
VSS_l6 VSS_76 AU26
~ -- =AA= 1 ~4 7
VSS_17 VSS_77 AU4
~--=AA=l~S7 VSS_lS VSS_7S AU6
2=º7 VSS_19
~ - -~AA= vss 79 AUS
1
• •

note:1.Trace for 8A
Battery Connector 2.Differential trace

z
H
1
H

-le -le
-le -le SRN
o o ~ { /-)- - - - - -- - - , 1 '

.-1 N
r-- 00
o o Double Check!! P3-P5 o o
IO IO -le SG1504
~ s ~ s .-1
l!) s .-1
l!) s r--
o
l!)
ti)
l!)
ti)
JlSOO ti) ti) O\
14241048 N
O\
VBATT 1 Pl P2 2 VBATT SI' o
3 4 BATT_ID_C o r--
P3 P4 IO o
BATT_TS_CON 5 PS P6 6 R1503 100 USB_SW_JI .-1
ii::
VBATT- 7 P7 PS 8 VBATT- SRP
ti)
9 P9 PlO 10
11 Pll P12 12
13 14
SG1505
P13 P14
15 PlS P16 16 O\
(V) N o
o o IO
R1507 o
IO IO .-1
ID N
.-1 .-1 l!) s
o o Cl Cl ti)
IO IO .-1 .-1 z
ti)
.-1 .-1
tJ tJ 1.2.9.10.13.14 PIN VBATT z
ti)
7.8.11.12.15.16 PIN VBATT-
-le ::,
::, o
o .-1
.-1

VBAT_GND2

o
.-1
•1 1, IO
.-1
s l!)
ti)

VOUTS 1V8 VOUTS 1V8

~
00
ID

HKADC_IN10 ID HKADC IN11


o -
IO
.-1
BATT_TS_CON R1501 K BATT_TS BATT_ID_CON p:: R1502 1,,,._,K
"'-----------=BA
= T:...:T=:I::..:

Battery Temperature Battery ID


- - -

VCHG_OSB_CON
Q1601
DMN2022UFDF_7
lOOmA
1 D1 DS 7
' 1 1 e16 2 o I f-----""1""'.0_:::
uc.____ __ es VBUSl_l BST L3 V600_BST C1609 47N
2 D2 D4 6 DS VBUS1_2 SWl KS
f---'=---v
'----6=0=---;o _sw L1601
... M N ... 5A
ES VBUS1_3 01601 SW2 LS ~~r O O O l'---'l =u~_ AT_s_.,
M N r-t U z D3 s FS VBUS1_4 SCHARGERV6 OO SYSl Hl
u u u u o .¡e - ,- 5A ::, ::, ::, N
HS Jl
,...¡
:Z:Z:Z> ::, o s:: ~ VBUS2_1 SYS2 o o o o
o '::,t/l ,...¡ ,...¡ ,...¡ SCHG_VBOS JS VBUS2_2 SYS3 Kl ,...¡ ,...¡ ,...¡ \O
,...¡
\O SCHG_VBos Ll o
,...¡
o ~ \
S2 8 OVP VGO E4
SYS4
,...¡
~ OVP_VGO
\O \O ('I)
OVP_VGO F4 E7 Cl619 47N ,...¡ 'SI' o
,qt M N r-1 o ,...¡ o OVP_VGl VBSTl N N ('I) ~
QQQQ \O \O \O 3 G ---+t :' Sl 4 VCHG_OSB_CON GlO \O \O \O
?J?J?J?J ,...¡ ,...¡
u
,...¡
u
0 VUSB
AS u u
,...¡ ,...¡ ,...¡
u
eTOPl_l
TP1606 lOu A7 BB VBAT_SYS_GNDl Vl AT_SYS_. GND2
00 r-- ..,, 111 PMIDl - 1 eTOP1_2 ('I)
N
B7 PMID1_2 eTOP1_3 es \O
,...¡ .¡e .¡e
lA e6 PMID1_3 eTOP1_4 D8 u .¡e
,...¡ o ~(
--
Q1604
D6
D7
PMID1_4
All
,...¡
\O •[1 ,
'
•[1,
°'
o
\O
~ DMN2022UFDF_7
PMIDl_S eBTMl_l D
,...¡
'
' ,...¡
E6 Bll
~
(.!)
PMIDl - 6 eBTM1_2 ,...¡
en s s
1 D1 DS 7 G6 NA eBTM1_3 ell
H6 PMID2_1 eBTM1_4 D11
2 D2 D4 6 H7 PMID2_2
-
- J6 PMID2_3 VBST2 G7 C1612 47N VCHG_OSB_CON
D3 s K6 PMID2_4
~
-
- K7
L6
PMID2_S eTOP2_1 HB
JB
Q1603
DMN20220FDF_7
PMID2 - 6 eTOP2_2 ('I)
SCHG_VBos L7 KB \O 1 D1 DS 7
\ PMID2_7 eTOP2_3 ,...¡ >----
~ S2 8 eTOP2_4 LB u
OVP_VGO ~--2 D2 D4 6
3 G ~ Sl 4 eBTM2_1 Hll
4.70 CHG_REGN_V600 G9 Jll D
,...¡ D3 S
REGN eBTM2_2 LVC 5A
100 SCHG_AVDD GB AVDD eBTM2_3 Kll
'
CAD:SHORT TRACE TO 01601 _ _ _VB_._. ....
S._..T'-""5._.V,____----je>-----~A=l°-------i VeONNl eBTM2_4 Lll
T Bl VeONN2
VDD_BOCK_B00S T D4 VIN_AUD VOUT_Sel_l A9 VBAT EOS FOR GPIO S2 8
VBOCKB 1V8 H4 VDDIO VOUT_Sel_2 AlO
Cl660 lu B9 GP I O_13 4 _ OSB_ O _ CT~l 6 51 , ;',1.._.K
,,,_______-+...,,3'-----11--G
"--------- Sl 4
VOUT_Se1_3
C1601 ln D1 SPM VOUT_Sel_4 BlO
I2C6_SCL D2 SeL VOUT_Sel_S e9 :,,:
o
I 2 C 6 _SDARl 6 6 9 , /\3/'o~o.,__ ___..,_.,__
D3 SDA VOUT_Sel_6 elO ...
TPl 6 0B (6),ll-- _.P~MU=-~R~S~T..__.S~O~C..._.N..__'_ _ __ ~El _ RST_N VOUT_Sel_7 D9
TPl 6 0? (6),ll-- ~ G~P~I~0~ 2~1~8:....,,,
C~H~G:....:,,_
I= NT_ N Gl
e,____ _--='-------i INT_N VOUT_Sel_B D10
J4 DFT_MD N
('I)
VOUT_Se2_1 H9 \O
TYPEC_CC 1 Rl 6 5 3 ' ;,,O A2
.,_*_ ____c=---1 HlO ,...¡
eel VOUT_Se2_2 ~
TYPEC_CC2 R1654 ' ;,,O.,_*--~ A3 ~ --, ee2 VOUT_Se2_3 J9
FOR COMPASS A4 JIG_SW VOUT_Se2_4 JlO
AS PQO_G VOUT_Se2_S K9
A6 PQl_G VOUT_Se2_6 KlO CHG TEMP DECT VBOS SENSE
CHG_OSB_<ro.Ni04 B2 BOOT VOUT_Se2_7 L9
B3 VBUS_SV_EN VOUT_Se2_8 LlO VOOTS 1V8 CHG_REGN_V600
BS FRS_EN
CHG_OSB_<ro.Ni03 O* F7 URT_RX BATl H2 VBAT
FB URT_TX BAT2 J2
K2 ::, ::,
BAT3 o o :,,: :,,:
'1 F3
C=1~60=2=-------,I f-----""1...0c_-O=N'----~'--------, L2 ,...¡ ,...¡ o o
1 - - 1_ _ _ _ _ _

VREF BAT4 o LO
,...¡
OSB_DM_CO:tk1601 , e3 E12 '
DMINUS VP_AeR LO r--
OSB_DP _CO:tk1602 , B4 VN_AeR Gl2 N N
DPLUS \O \O N
IIN_AeR Gll ,...¡ ,...¡ N
B6
1 '

u ~ _~u \O r--
s WL_OTG_EN ,...¡ 0
el WL EN BATP FlO R1667 , , 1 00 VSNSP. VBAT_GNDl ~ \O
N PMU_VBOS_SENSE ,...¡
,...¡ E2 TS_BUS BATN F9 R1668 ,l 00 VSNS HKADC_IN 4:..__
\O F2 F12 SRP
,...¡ TS_BAT SRP
(.!)
SRN
Fll SRN
en en __,_/'t40":¡..
SG 16 O1 AGND - TC _ ___'e2
·***__.=.,._..,,_..__..,_ -_"'-----1 00 :,,:
AGND_Te ,...¡ ,...¡
CHG OSB_CO SG1602 en AGND - se ES AGND_Se PGNDl_l A12 \O
,...¡ LO
SG1603 en AGND_ CHG Fl AGND_eHG PGND1_2 B12 u
SG1604 en AGND_OSC_S G3 AGND_OSe PGND1_3 e12
SG1605 en AGND_REF_R G4 AGND_REF PGND1_4 D12 00
SG1606 en -' ' (.!) AGND_BOCK H3 AGND_BUeK PGND2_1 H12
.¡e
s::
o
\O
' Jl2 o ,...¡
O** PSOB_S PGND2_2 ,...¡ ~
SG1607 en (.!) E9 PSUB_Sel PGND2_3 K12
' ElO L12
O** PSOB_BOCK PSUB_Se2 PGND2_4
SG1608 en K3
' '" PSUB_BUeK
PGND_BUeKl K4
E3 DGNDl PGND_BUeK2 L4
J3
• 1---------"--"'---I DGND2
17. A OLED Interface

N .-1 '<I' ID '<I'


Resistors Share PADs with Inductors
ID ID o .-1 .-1
r-
.-1
r-
.-1
r-
.-1
r-
.-1
r-
.-1
R1706 o
u u u u u
DSIO_DATA3_N_R DSIO_DATA3_N
i< i< ::, ::, ::,
p. p. .-1 .-1 .-1
o Jl701 o 01702
N
.-1
N
.-1 DSIO_DATA3_P_R lOl00 7 0 2 DSIO_DATA3_P
__..E~L~V~S~S'-------+-- - - - - - - - - + - - =17 Pl p
2 2 _AVDD_3.1V =D:=B._. O._. C. _K,,_,.B....0....0._.S._.T.___ ___, >-------"4'--i VIN RFI
3 P3 p
4 4 V T4_LCD_IOVDD 1V85
5 P5 P6 6 GPI0_016_LCD_ID
c - - - - - - - - ¡ - - -------t- - - - ~ ~ ~ ~ ~ ~
CD_AVDD_3. 1V - - - t - - -----1
1 VOUT GND e-
2- - -~ R1707 o
AMOLED_AVDD 7 P7 p
8 8 AMOLED_AVDD_EN r--- SINK >--5
~ ---
r---
9 P9 PlO 10 VOOT25_LCD_DIG V2 r---
,....,
ll Pll Pl 2 12 GPI0_231_LCD_ID u R1708 o
13 P13 Pl 4 14 DSIO_DATA2_P_R
GPI0_051_LCD_RST_ 1732 100 15 Pl5 P16 16
e-------------~~-~~~
DSIO_DATA2_N_R
::, ::, DSIO_DATAO_N_R DSIO_DATAO_N
00 17 Pl 7 P18 18 .-1 .-1
AMOLED_ELVDD_ELV _EN 19 Pl 9 2º DSIO_DATAl_P_R
P20
GPI0_015_TP_RST_ 1727 i'""--'0"-'0,___ __..2_,._1---1 p
21 P22 22 DSIO_DATAl_N_R 10100702
GPIO_l98_TP_STB Rl 741 00 23 p 24 DSIO_DATAO_P_R DSIO_DATAO_P
23 P24
TP_SPI3_MISO Rl735 22 25 p
25 p 26 c---=2_.._6_ _---+------=º=S=I_.._O=C=L=K~P~R~~ RFI
TP _SPI3_MOSI Rl 734 22 27 p
27 p
28 28 DSIO_CLK_N_R
TP_SPI3_CSO_N Rl 728 100 29 p
29 P30 3o R1709 o
TP_SPI3_CLK ~_ _ _ _ _ _ __.3._.1"----i P 3l P32 32 DSIO_DATAO_P_R
GPI0_212_TP_INT_NR 726 00 33 p
33 P34 34 DSIO_DATAO_N_R
35 P35 p 36 f---"-3_.._6- - - R1710 o
VOOT29_TP_I D lV 5 TP_VDD 37 P37 P38 38 DSIO_DATA3_P_R
VOOT17_TP_A V3 TP_VCI 39 P39 P40 4o DSIO_DATA3_N_R
DSIO_CLK_N_R DSIO_CLK_N
ELVDD 41
43
Sl
S3
S2
S4
42
44
ELVDD
LED Current Test T1702
DSIO_CLK_P
DSIO_CLK_P_R
m M O
I2C Address=1000000(0X40) RFI
.-1 .-1 lf)
r- r- r-
.-1 .-1 .-1
u u u 01704 *
SG1701
i<
T_SYS tll
ALERT A3 R1712 o
::, ::, o.
N .-1 lf)

.-1 T_SYS - - -~ D_.3_,
._ IN+ SDA >--A
=2~ - - - - - -I=2~C ~ 4-
~SD
~A~
N i<
D2 IN- Al- - - - - - - - -I2C4_SCL DSIO_DATAl_N_R DSIO_DATAl_N
Rl 753 10* zo --------, SCL e - -- -
o
.-1 - ~C~l-----, GND VS Bl 10100702
DSIO_DATAl_P_R DSIO_DATAl_P
B2 NCl AO B3 RFI
07090800* o C2
N NC2 Al C3
r-
.-1
R1713 o
i<
10m VBAT_SYS_OLED AT_SYS_OLED ::,
.-1
Rl 754 10* R1714 o
'<I'
o
r-
.-1
iXl
OLED Voltage Driver DSIO_DATA2_N_R DSIO_DATA2_N
..:1
10100702 DSIO_DATA2_P
DSIO_DATA2_P_R
01701 RFI
N
VBAT_S SN1604033 LB1703
L1701 4.7u 1 SWl 3 1 2 o
OUTl
4 4.6V/360mA
SNSl
' 1
L1702
>---- - ~ ~ ~- ~
4.7u 11 SW2 LB1702
OUT2 10 1 2 LV S -4.0V/360mA
.-1
L1703 ~1~º~
º'-------~1=57 SW3 LB1701
o OUT3 13 1 2 0
r- =º=L=E=D~A=:VO~D~E=N~_ _ _ __ 8,____, EN 3 o
o:>
o
N
.-1
N
lf)
6.3V/100mA
.-1
o 2 r- r- r- r-
PGNDl .-1 .-1 .-1 .-1
12 VDDP PGND2 14 u u u u
o
r-
o
r-
o
r-
1 6 VDDA
>---~ =7
r= - AGND 7

N
.-1
u
.-1
u
.-1
u =º=L=E=D~E=L.._VD~D~E=L.._V~S=S~E=N~_ 9,____, CTRL t- - TT 6
1-~ --,
::,
o
.-1
N
N
::, ::,
N
N
o.
o
N
.-1
o.
o
N
.-1
o.
o
N
.-1
o.
o
N
.-1

::, ::, ::, - --


5
-----, D IS L AGND_PGND l-l.._7,___~
o o o
.-1 .-1 .-1

39110993* GND

GND

PI0_22l_OLED_DRIVU725 K*

AMOLED DRIVER FORSAMSUNG FOR TIANMA

R 1725 DNI(DEFAULT) SMT


• TCAM._B2B
Jl901
I2C address=Ox63(TI)/Ox67(MPS) 1 2 XVS_BACK_CAM
Pl P2
UT21_CAM_IOVDD_1V8 3 4
rear camera single flash led 5
P3 P4
6
PS P6
software set the limit current 1.SA 7 P7 P8 8
9 P9 PlO 10 CSI3_DATA1_N
SI3_DATAO_N 11 Pll P12 12 CSI3_DATAl_P
Ul901 SI3_DATAO_P 13 P13 Pl4 14 CSI3_CLK_N
15 P15 P16 16 CSI3_CLK_P
VBAT_SYS B3 IN OUT Al lOU 1 Cl902 ISP_CLK2_MCAM 17 P17 P18 18
L1901 lu A2 sw
1 1'
ISP_SDA2 19 P19 P20 20 GYRO_CLK
LEO Bl LEDl_P ISP_SCL2 21 P21 P22 22 GYRO_SDA
D
o GPIO_Ol3 FLASH_STROBE B2 STROBE PIO_Ol4_TCAM_RST N 23 P23 P24 24 GYRO_CS
,-1
FLASH_MASK Cl TX/TORCH GND A3 25 P25 P26 26
,-1 I2C4_SDA C2 SDA 27 P27 P28 28
o I2C4_SCL C3 29 30 VOUT12 DRVDD 2V8
°'u
,-1
SCL
31
P29
P31
P30
P32 32

UT22 - TCAM D 1V2 33 Sl S2 34 VOUT2 TCAM D- 1V2


CPM_BUC - 2V85 35 S3 S4 36 e K_VC - 2V85
N

N

37 SS S6 38 D D
N N N N
• •
N N
G03102639_MODLX
M
Jl902 ,-1°'o '<I' D \P

1 LEDl P
°'u °'u
,-1 ,-1 o N o
Plr - - - - -LEDl_P
--- °'u
,-1 N

°'u
,-1

P2F2- - - - ~ = ~ ~
P3-r3- - - - - - - - - - ~
p4~4_ _ _ _----:;:-;,,:;.----~:;:--
P5F5_ _ _ __.I~2~C~4_.__.S~
PGE6_ _ _ __.I~2=C=4~S~
P7r7_ _ _ _ _v~o=u=--=:T
o
G03139482_MODZH* °'u
,-1

::,
,-1

LB1901

Laser VOUT32_CAM_DVDD0_1Vl 1 2 V- CAM- PVDD - lVl


'<I' o
Ul903 38140305 °'u °'u
,-1 ,-1

AVDDVCSEL 1- - ~
i-=-
VOUT34_3V
.¡e
AVDD 11
1-----~ D
IO ,-1 •
~ I=2~C~4= S=C=L
~ - - - - - - - - -~l~O
c_¡ SCL o N
_I_2_C_4___S~D_A_ _ _ _ _ _ _ _ _ _~9'-, SDA 2- - - ~
AVSSVCSEL i-=- °'u
,-1

GND i-=-3- - ~
_ G_P_I_0___0_3_5___ LA
__ S_E_R_ E_N_ _ _ _ _____,
s ~, -XS_H_U_T 4- - ~
GND2 f----C- ::,
GND3 i-=- 6- - ~ r--
~ 8'-, DNC 12..__-~ •
GND4 c-= '<I'

GND_BUCK

Cam PMIC
°'N oM
I2C ADDRESS=OXlC °'u °'u
,-1 ,-1

U1904 MP5419GC-0000-Z
D D
o o
Cl92 -~ ,¡ - -_ _ VB
_ A_T
~_ SY
_ S_ o_37 VSYS SCL 1--C_S_ _ _ _ _ _ _ _ _ _ _ _ _ _ _r.-1-~.--1 - I2C4_SCL
lu SDA r-=C4~- - - - - - - - - - - - - - - > - - - - + - - - + - - I2C4 SDA
VBAT_SYS _____________ 2
A -----, PVINl
OUT 2 l--"
A~3 _ _ _ _ _ _ _ _ _ _ _ _ _ _____, >-~ r-l---+---"VB-'="=S,_,T""""'5'-V
-''------
VDD_BUCK_B00S T 1----+--+------------___.,_D_.._,S VIN12
VBUCK3_1V95 - 7 r - - - + - - t - - - - - - - - - - - - -- º- 2____, VIN3 LDOl ¡-=E=.5 _ _ _ _ _ _ _ _ _ _ _ _ _ _____, CPM_LDOl CAM_AVDD0_2V
VDD_BUCK_~OS - - - + - - - - + - - + - - - - - - - - - - - - ~ D=-j
l VIN4 LD0 2 l-°""
E~4_ _ _ _ _ _ _ _ _ _ _ _-----, r -l--~C~P~M~L~D~,0~2~C~AM=~A~VD__..,.~D~l~2,_,V'--'"
L1921 LD0 3 1--E_2 _ _ _ _ _ _ _ _ _ _ _ CPM LD03 CAM AVDD2 lV
CPM_BUCK_V~D_2 J'85 t--+-+-a, t----'! 0 0 0 )~l=u~ - - ~A =lc.__, SWl LD0 4 i--=
E=-1_ _ _ _ _ _ _ _ _ CPM_LD04 SCAM_AVDD_2V
10100981* B2 FBl
INTRB B4 GPI0_256 CAMPMI _INT_
Ll922 A4 SW 2 l NC/SEN2 Cl
- -~ ( Ó ü ◊ ) 4 7 On.f--__-_A=S'----1 SW2=2
10100957
GPI0_075_C '-MPMIC_~N C2 ENl AGND i-=-
E~ 3- - - - - - - ~
¡gj
NFC_TX_PWR RE_Q__--+---+---+--+------------- B _3--, EN 2 C3- - - - - ~ .¡e
DGND t-- ,-1

r-- o
00
N N \P
IO
N
'<I'
N
M
N
N
N
D4 REF
~ - - - -----, PGNDl 1--
B_l __G_N_D__B~UCK , 6 ,-1
M
N
M
M
M
'<I'
M
IO
M
\P
M °'p.,
,-1
N
°'u °'u °' °'u °'u °'u
,-1 ,-1
,-1
,-1 ,-1 ,-1 °'u
,-1 PGND2 BS ( °'u °'u °'u °'u
,-1 ,-1 ,-1 ,-1 °'u °'u
,-1 ,-1
E-!
u '
GND - BUCK:
.¡e .¡e
-1,, ~ - ~ - ~ - ~
<

::, ::, ::, 6( 6( s ::, ::, ::, ::,


.¡e
p..
.¡e
p..
N N o M
N N N N M M
• • ,-1
N
• • • • M M
N N N N N N
s s °'
,-1
(!)
,-1 N
N N

°' °'
,-1
(!)
,-1
(!)
U) U)
• Front
O'I O'I
o N
o o
N N
lJ lJ
J2002

Rear B2B 25 Sl S2 26
::i
N
N

::i
.-1

1 Pl P2 2 CPM_LD04 - SCAM_AVDD va
3 P3 P4 4 C2051 33 *RFI ISP_SCLO
J2003 5 P5 P6 6 ISP - CLKl - SCAM C2052 33 *RFI ISP SDAO
SCAM_CSil_DATAl_N_l 7 P7 P8 8
1 Pl P2 2 SCAM_CSil_DATAl_P_l 9 P9 PlO 10 SCAM_CSil - DATA3_N_
HYO_RXO_A 3 4 SCAM_CSil_CLK_N_l 11 12 SCAM_CSil - DATA3_P_
P3 P4 Pll P12
HYO_RXO_B 5 6 CPHYO_RXl_A SCAM_CSil_CLK_P_l 13 14 SCAM_CSil - DATA2 - N-
P5 P6 P13 Pl4
HYO_RXO_C 7 8 CPHYO_RXl_B SCAM_CSil_DATAO_N_l 15 16 SCAM_CSil - DATA2_P_ C2055 33 *RFI ISP_SCL2
P7 P8 P15 P16
9 P9 PlO 10 CPHYO_RXl_C SCAM_CSil_DATAO_P_l 17 P17 P18 18 C2056 33 *RFI ISP SDA2
11 Pll P12 12 CPHYO_RX2_A 19 P19 P20 20 GPIO_Ol7 - SCAM_RST-
13 14 CPHYO_RX2_B ISP_ 21 22 VOUT32 - CAM_DVDDO - 1
P13 Pl4 P21 P22
15 Pl5 P16 16 CPHYO_RX2_C ISP 23 P23 P24 24 VOUT21 - CAM IOVDD- 1
17 P17 P18 18
19 20 V_CAM_PVDD_ -le -le 27 28 N
ISP_CLKO_MCAM P19 P20
VOUT21_CAM_I D 1V8
o..
M
o..
M
S3 S4 ::i ::i M
o
21 P21 P22 22 N N
S- BACK- CAM M M • • N
23 P23 P24 24 N N lJ
25 P25 P26 26 ISP SCLO
27 P27 P28 28 ISP_SDAO r- r-
29 30 GPI0_012 O_RST H O HO o
\O
.-1
\O
zo
P29 P30
31 P31 P32 32 [;! íl [;! íl o
N
o
N
o
.-1

34 VOUT32 - CAM lVl


S2
S4 36 CPM_BUCK_VC D_2V85
S6 38 ::i ::i
N N
• •
• •
N N T2005
G03102639_MODLX
S~_CSil_CLK_N 1 _2_ _ _ _ _S_CAM
~ _C
_ ~l_CLK_N_l
SI'
o N
00
o
IO
SI' .LJ0
o o o • ----
o N N
N o
N
lJ lJ S~_CSil_CLK_P 4 ~~3_ _ _ _S
_ CAM
_ ~ C~l_CLK_P _l
lJ
lJ GND
T2004

GND s~_CSil_DATAl_N 1 _2_ _ _ _ _S_CAM~_c_~l_DATA1_N_l


----===- .LJ0
• ---- 1.5K VOUT21 - CAM- IOVDD
s~_CSil_DATAl_P 4 ~~3_ _ _ _ _S_CAM
~ _c_ ~l_DATA1_P _1 .SK

T2003 . 5K
.SK
s~_CSI1_DATA2_N2 _l_ _ _ _ _S_CAM~__c_~l_DATA2_N_l
LJ0. I SCL2 R2015 .SK
----• I SDA2 R2016 1.5K
s~_CSI1_DATA2_P 3 ~ ~4~---~S~CAM~--c=~l_DATA2_P _1

SUB B2B T2002

S~_CSil_DATA3_N 2 _l_ _ _ _ _S_CAM


~ _C
_ ~l_DATA3_N_l
LJ0.
---- •
S~_CSil_DATA3_P 3 ~~4_ _ _ _ _S_C_AM
__ C~l_DATA3_P _l
J2004
T2001
1 Pl P2 2 XVS_BACK_C
UT2l_CAM_IOVDD_lV8 3 P3 P4 4 S~_CSil_DATAO_N 2 r--'1~ - - - ~S~CAM
~ _C
~ ~l_DATAO_N_l
5 P5 P6 6 CSI2_DATA2_ LJ0.
CSI2_DATA3_N 7 P7 P8 8 CSI2_DATA2 ~~~~ ·
CSI2_DATA3_P 9 P9 PlO 10 CSI2_DATA1_ S~_CSil_DATAO_P 3 ~~4_ _ _ _ _S_C_AM
__C~l_DATAO_P _l
CSI2_DATAO_N 11 Pll P12 12 CSI2 DATAl
CSI2_DATAO_P 13 P13 P14 14 CSI2_CLK_N
15 P15 P16 16 CSI2_CLK_P
ISP_CLK2_MCAM 17 P17 P18 18 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

ISP_SDA2 19 P19 P20 20


ISP_SCL2 21 P21 P22 22
GPI0_052_MCAM2_RST_N 23 P23 P24 24
25 P25 P26 26
27 P27 P28 28
29 P29 P30 30
31 P31 P32 32

VOUT 1 33 34 VO T32_CAM_DVD
Sl S2 ¡-=-=----- - + - - - - - - - - -~ PM BUCK ve
CPM 2 5 35 S3 S4 36 - - D_2V85
::i ::i ::i ::i
37 S5 S6 38
N N N N
::i • • • •
N N N N N
• •
N G03102639_MODLX

\O .-1 r- \O .-1
.-1 00 o o o
o o o o o M
N N N N N SI'
lJ o
N
lJ
GND

TypeC PD IC
U2100
RT1711HWSC
ST_SV B1 VCONN CCl Cl TYPEC_CC
C2101 2.2P C2104 1.8P CC2 Al TYPEC_CC
CHG USB_CON A2 VBUS
SCL B3 I2C3_SCL
USB_DP_CON R2105 10 L2101 15n USB_DP UT34 3V3 A3 C3 I2C3_SDA
VDD SDA
zo C2 2 GPI0_267 CC_INT N
;:I GND INT_N
USB- DM- CON R2106 L2102 15n USB DM .-1 o
.-1

o o
C2108 1.8P .-1 .-1
N N
u u

VOUT23_3V2

~ ~
o o
o o
.-1 .-1

U2101 o .-1
NLAS2750MUTAG (V)
.-1
U2102 (V)
.-1
EBUG_UART6_TXD 5 NCl NC2 7 DEBUG_UART6 N NLAS2750MUTAG N
X_PADN 11'. 5 NCl NC2 7
11'. AUX_P
PI0_208_TYPEC_DP_EN 4 8
INl IN2
I0_137 - DP_AUX_SWITC 4
INl IN2 8
YPEC_SBU2 3 COMl COM2 9 TYPEC_SB 1
R2120 R2 21 O* UX- PADN- SWITCH 3 COMl COM2 9 AUX_PADP SWITCH
UX_PADN- ITCH 2 NOl N02 10 UX_PADP SWITCH
UX_PADP 2 10 AUX_P
NOl N02
D_BUCK_BOOST 1 vcc GND 6
1 '

D BUCK_BOOS 1 vcc GND 6


1 '

o
(V)
IN=l COM=NO .-1
N
IN=O COM=NC u IN=l COM=NO
IN=O COM=NC
a
o
o
.-1
22. CODEC HI6405
02201
HI 6 4 05 V 010
SLIMBUS_CLK 09 013 A_MICBIAS1
TP2212 R9 I2S1_CLK/SLIM_CLK MICBIASl
C2212 220n A_MIClP
TP2211 R9;
SLIMBUS_DATA " es SOUNDWIRE_CLK/GPI04
I2S1 - SYNC/SLIM- DATA
MAINMICP_HDR
MAINMICN_HDR
Gl4
F13 C2213 220n A MIClN MAIN MICl Close To SPK
GPI0_226_CODEC_AI_ INT " ES
F9
SOUNDWIRE_DATAO/GPI03
I2Sl_SDI/SOUNDWIRE_DATA1/GPI05
AUXMICP
AUXMICN
Hll
JlO
R2201 ,
R2202
• -:i OK
, -:¡ OK
C2233
C2234
2.2u
2.2u
CODEC- ADC - A~
1 '
ference MIC Close To Camera
I2S1_SDO/DMIC_WAKEUP/DSD_R
A_MICBIAS

CODEC_BT_PCM_CLK
" B5
GPI06/BCO MICBIAS2
MIC3P_HDR
E12
Jl2
Jl4
TP2213 Q<J I2S2_CLK/JTAG_TCK/EFUSE_SCLK MIC3N_HDR
"- GPIOB MIC4P_HDR Kll A_MIC2P
CODEC_BT_PCM_SYNC C6 I2S2_SYNC/JTAG_TRST/EFUSE_CS MIC4N_HDR K9 A_MIC2N
TP2214 R<))
GPI07
CODEC- BT- PCM- MISO 05 K13 C2255 1500 KEY_AI_OUT
TP2215 R9 I2S2_SDI/JTAG_TDI/EFUSE_PGM MICSP
C2236
TP2216 R9 CODEC_BT_PCM_MOSI " E6
GPI09
I2S2_SDO/JTAG_TDO/EFUSE_DOUT
MICSN L12 150n

A_HS_MIC IAS
1 '

CODEC_SmartPA_I2S_CLK
" A6
GPIOlO/BCl HS_MICBIAS
HSMICP
E14
H13
Gl2
A_HS_MIC
A_HS_MIC
I2S3_CLK/GPI012 HSMICN
CODEC_SmartPA_I2S_SYNC B7 I2S3_SYNC/JTAG_TMS/EFUSE_RW
CODEC_SmartPA_I2S_MISO
CODEC_SmartPA_I2S_MOSI
" B9
07
GPIOll
I2S3_SDI/GPI013
MBHC_IN
HSD
011
GlO
A_MBHC_I
A_HS_DET
I2S3_SDO/GPI014/BC2
M9 HS_SGND
HSL_FB
GPIO_l95_CODECO_AI_KEY E4 UART_TXD/DMIC_CLKO/DSD_CLK HSL P9 A_HSL

"'- FS
GPI015
UART_RXD/DMIC_DATAO/DSD_L HSR P3
M3
A_HSR
GPI016/BT1 HSR_FB
KEY_AI_ IN 03 I2C_MST_CLK/DMIC_CLK1/GPI018 EAR_P Pll EAR_P
GPIO- 224 - CODEC- GPI019_WAKE_UPC2 I2C- MST - DATA/DMIC_DATA1/GPI019 EAR_N R12 EAR_N

I2CO_SCL B3 I2C_SLV_CLK/GPIOO Cl4


FIRP
I2CO SDA C4 I2C_SLV_DATA/CLK_12M288/GPI017 FIRN Cl2

AlO G2 FLYl_P
USB_DP FLYlP
A12 G4 FLYl_N C2244 4.7u
USB_DM FLYlN
J2 FLY2_P
FLY2P
TP220 SOC- CODEC- SSI - DATA F7 SSI_DATA/GPIOl J4 FLY2_N C2245 4.7u
FLY2N
TP220 CODEC_CLK_19M2 ElO MCLK_XI
Fll XO
SYS - CLK32 - 32K AB CLK32K
G6 DFT_MODE
1
H7 FUNC_MODE
TP220 GPI0_2ll_CODEC_INT_~ GB HS CPNl C2235 4.7u
IRQ_N/GPI02/BTO CPOUTlN 1 '

TP220 GPI0_074_CODEC_RST_N H9 J6 CPN2 C2238 4.7u


RESET CPOUT2N 1 '

TP220 Al4 PLL_TEST BUCKl_LX E2 CODEC_LXl L2201 ( 0 ó ó 11 UH C2224 10 u------1


C2227 2.2u BUCKl_FB Hl FBl 10100981

if FIR J.S
VOUT34_3V3
AGND_TRX
used,replace C2243 by 4.7uF • C2229 100n AVREF Ll4

B13
AVREF
LDOP_VOUT L2
L4
LDOP_VOUT
LDON_VOUT
C2216
C2205
lu
lu 1 '

AVDD3V LDON_VOUT

' 4.7u 1 '


C2243
1 '
VBUCK8 - 1V8 A4 N4 C2237 2.2u LDOP_VOU
IODVDD18 VBPR
VOUT 1 - lVl
C2246
' 100n
1 '

ClO DVDDll
VBNR
VBPL
MS
N6
LB
C2221 2.2u LDON_VOU

VBNL
~222s 100n
VBUCK3_1V95
, P13
R14
AVDD19_LDO_TRX1
AVDD19_LDO_TRX2 AVDD_HSL NlO FBl C2203 lu
fé2250

1 '
2.2u C2207 100n AVDD_HSR R4 FBl C2217 lu
1 ' 1 '

VOUT41_ lVl
' C2206 lu _.
1 '
JB

Ml
DVDD25_EFUSE

AVDDll_LDOP
LOO- AVDD18 - VOUT
AVDDlB_TRX
N14
M13
AVDD18 VOUT C~202 lu AGND_T

C2210 100n

VOUT41_ lVl
C2204 lu
• 1 ' ' 1
N2

Kl
AGNDll_LDOP AVDDlB_DAC_D RB

PS
AVDD18_VOUT C2218

LDOP_VOU
¡ 1u
1 1 '

PVDD11_CP2 AVDD_DACR
AVDD_DACL NS LDOP_VOU
H3 PGND_CPl
C2208 4.7u GND.
1 ' 1

K3 Mll LDOP_VOU
PGND_CP2 AVDD_DAC_HPL
L6 LDOP_VOU
AVDD_DAC_HPR
C2226 lOU PGND_BUCKl 01 PGND_BUCKl
UCK3 - 1V95 Fl PVDD_BUCKl
AVSS_HSL RlO CPNl C2219 lu
' 1

'
SG2202
00
- '-
-••
º** F3 AGND_BKl_CPl AVSS_HSRl Pl
R2 CPNl C2220 lu
1'

SG2203 tll O** AVSS_HSR2


· [~ AGND_TRX N12 AGND_TRX
1 '

-' LDON_VOU
' 1
-
AVSS_DACL M7
B11 R6 LDON_VOU
1
AGND3V_FIR_USB AVSS_DACR
AVSS_DAC_D P7 LDON_VOUT C22 1 lu
CPN2 KS AVSSll_LDON 1
1 1'

AVSS_DAC_HPL LlO LDON_VOU


A2 K7 LDON_VOU
VSSl AVSS_DAC_HPR
1

• B1 VSS2

.¡¡s_GN8G22 o 1 ti) f\/4V\'--'ol!);,__*_*_ _ _ _ _~A=G=ND~~T_RX


_ __

SG2204 O**
' 1 C--------'tll
~/\✓,v'I (!) PGND_BUCKi_
1

A MICBIAS2
Slave MIC
N
('I)
N
u u MIC2302
SPV8842LRSH-1
1
VDD
OUT 2 L2303 '---'6"--'8'--=n=-----------< M;>--- - - - _C
_2_3_2_5____, 1 2 2 On A_MI<,tP
3 M
GND N
u
4
Ml
.¡e
p.,
('I)

L2304 ~6_8_n_ _--=


N M>------~
=+-- C
_ 2_3 _2_6----I ] 2 2 On
('I) ('I)
N N
u u

.¡e

('I)
('I)

J2301

Pl
1 LM_VDP_TESTL2302 lN LM_VDP

J2302

Pl
1 LM_VDN_TESTL2301 lN LM VDN

,-f N
o o
('I) ('I)
N N
u u

.¡e

('I)
('I)
CAD note:
LB2401/LB2402/LB2403/LB2404/LB2407/LB2408
a. the signa! before and after them route
• can not cross and overlap
b. forbidden to place in parallel,
need to place vertically
R2402 .lK
('I)
o
'<I'
z
o
N o
u ,-1

O'I r-- ('I)


M G R L \O
,-1 N
'<I' '<I' D \O
'<I' N N
N N •
default 0N
'<I' u u ,-1
N
• '<I'
N
o
IO
u
,-1
.¡e i::
p.¡ o ,-1
r-- ('I) o o
o ('I) ,-1 '<I'
SG24040t,* R2451 .62K C2451 100N
~
'<I' t/l
N
o ..... :.:: A = H
=C""""'I=--=N-'------_ ____, >---------------'C=2'-'4'---=0'---=87 1 1 OON A_HS_MICaP
LB2407 o N
O'I •
('I)
1

10100921 N ('I)
IO IO
'<I' '<I'

C2452 2.2U ~ ~
H¡.._MIC_N C2406 11 100N A_HS_MIC~

C2419 5.6
HS_SGNO_TEST LB2420
HSR_TEST L2401 560N 1 2 A HSR
p.¡
('I)
O'I
HSL TEST o 10100921 ('I)
'<I'
N
HS_OET_TEST
,-1
02404 15040469 ,-1
1 2 z
,-1
'<I'
' 1
SG24010t,* ¡¡j;NO_~ 01
' 1
HS_MIC_TEST 1

02403 15040469 SG24020** ¡¡j;NO


1' ' 1
HS_GNO_TEST 1 2 p.¡
1 z
,-1
('I)
('I)

,-1 LB2421
'<I' N
,-1
N
L2402 560N 1 2 '<I' A HS
u
10100921
C2418 5.6 R2404 50K VOUT18 1

R2405 OOK A_HS_O

LB2401
1 2 A- MBHC - I
.¡e A_MBHC_IN
.¡e
1010067 p.¡
z
,-1
('I)
('I) o
i::
o
O'I ,-1 Q2401
,-1 \O
o '<I' FETN-GSD
'<I' o ,-1 ('I)
3 o
N '<I' o ,-1
o
o o '<I' '<I'
('I)
IO N N
,-1 u '<I'

.....
N
u GPIO_l35_MIC_GNO_EN G Close Codee 02201
2 S
2431 56P C2432 39p FM_ANT ■

HS GNO

L2404 68n HS_GNO


zN
N 00
s

o \O .¡e
,-1
'<I'
r-- .¡e
'<I' OM
N o
o '<I' ('I) o
..... o o '<I'
IO '<I' N
,-1 N l!l
,.:¡ t/l
IO
o
IO

• C'I
p.,
E-t
SPK SMART PA DefaultforNXP
-
r8l -

• U2501
TFA9874A
PI0_245_SPK_SMARTPA_RST Al D4
RST GNDDl
SPK SMART PA For TI Share PAD with U2501 SPK SMART PA For Cirrus Share PAD with U2501 GNDD2 E4
TP SOl ~,__C_O_D_E_C~__S_m_a_r_t_P_A~I_2_S___C_L_K
_ _ _ _ _ _ __ A2
------1
BeK
2
U2502 U2503 D1
GNDBl
TAS2562YFPR CS35L36A TP ~ ,__C_O_D_E_C___S_m
_ a_ r_ t_ P_A_ I_2_S__~S_YN
_ C_ _ _ _ _ _ __A3-< D2
2502 FS GNDB2
B2 SDIN SDOUT Bl Al RST GNDDl D4 GNDB3 D3
E4 I2C4_SCL A4
GNDD2 SeL
e3 E6 A2 I2C4_SDA AS OS
VSNS_N OUT_N BeK SDA GNDPl
es VSNS_P OUT_P ES GNDBl Dl GNDP2 D6
A3 FS GNDB2 D2 A6 TRSTN
A4 SeL VBATl el GNDB3 D3 INBl 1--=E=l_ _ _ _ __ L2 5 O3 / ◊ O O 11 u VBAT_SYS
AS SDA VBAT2 e2 A4 SeL
= \----======--:=--::.=...===i--===-=-----
TP2503 ~ CODEC_SmartPA_I2~ _MISO - - - - - - - - =Bl~ DATAO INB2 ,_ E_2- - - - - -
AS SDA GNDPl OS TP2504 ~ CODEC_SmartPA I2S_MOSI B2 DATAI INB3 ,_ E_3- - - - - ~
Al SDZ VBSTl Fl GNDP2 D6

El SWl
VBST2
VBST3
F2
F3
A6 TRSTN
INBl El
' 1 , B4
B3
ADSl
ADS2
B=6- - - - - - - - - - - - - - - - - t -~
VDDD 1--=
VOUT18 lVB

E2 SW2 Bl DATAO INB2 E2 VBATl el


E3 B2 E3 PI0_20l_SPK_SMARTPA_IN~ N BS e2
SW3 DATAI INB3 INT VBAT2
VDD B6
A6 DREG B4 ADSl VDDD B6 e6 TESTl VSN e3R2540 V\/'4 . 7g:lPKOUT_S_Na
PVDDl F4 B3 ADS2 e4 TEST2 VSP eSR2524 V\/'4 . 7RSPKOUT_S_P■
A3 FSYNe PVDD2 FS VBATl el
F6 BS e2 PKOUT_N L2501 ( O O 77N E6 Fl 00 _SPK ::,
PVDD3 INT VBAT2 OUTN VBSTl D o ::,
e6 PKOUT_P L2502 ( O O 77N ES F2 .-1 .-1 .-1
GPIO OUTP VBST2
GNDl D4 e6 TESTl VSN e3 VBST3 F3
e4 GREG GND2 E4 e4 TEST2 VSP es
p. p. F4 z
o ::, ::, D 00
o
\O
.-1
IO
o
(V) (V) ::, ::, VDDPl o o o
BS OS E6 Fl (V) (V)
.-1 .-1 FS o .-1 .-1 .-1 IO IO IO
IRQZ PGNDl OUTN VBSTl VDDP2 .-1 C'I C'I C'I
PGND2 D6 ES OUTP VBST2 F2 VDDP3 F6 u u u
B4 ADO VBST3 F3 •
00
B3 ADl BGNDl Dl ""'
IO
C'I
""'
IO
C'I
.-1
.-1
o
.-1
IO
IO
O'I
C'I
00
C'I
C'I
.-1
D2 F4 IO IO C2552 lOOn* IO IO IO
BGND2 VDDPl u u C'I
A2 D3 FS
C'I
u
C'I
u u C'I
u
C'I
u
C'I
u
SBeLK BGND3 VDDP2
VDDP3 F6

C2552 FOR TI ONLY

J2501

J2502

L2506
RCV_ EAR N
EAR P

RCV P. í77n .¡e .¡e


.¡e p. .¡e p.
L2505 N
s:: (V) N
s:: (V)
.-1 (V) .-1 (V)

.¡e O'I .¡e O'I


.-1 \O C'I \O
o o""' o IO o o""' o IO
IO IO IO IO IO IO
C'I
Cl o""' C'I
u
C'I
u
C'I
Cl o""' C'I
u
C'I
u
IO IO
.-1 .-1
....

GND
---===-
• -

Accelerometer and Gyroscope 6- IS SENSOR


SDO=l ADDRESS=OX6B(ST)/OX69(INVENSENS)
SDO=O ADDRESS=OX6A(ST)/OX68(INVENSENS)

gyro to OIS CAMERA


02602
ICM_20690 38140223
1 SDO/SAO/AP_ADO/AP_SDO INTl 4 GPI0_207_AG_IN
' 1
100n C2605 11 SDO_AUX/REGOUT INT2 9
' 1

GYRO_CS 10 OCS_AUX/FSYNC/OIS_ CS VDDIO 5 VOOT18 - lV


VOOT18 - 1V8 12 CS/AP_CS
8
VDD
GYRO_SDA 2 SDX/OIS_SDIO/AUX_DA
GYRO_CLK 3 6 o
SCX/OIS_SCLK/AUX_CL GND .-1
ID
N
I2Cl_SCL 13 SCL/AP_SCL/AP_SCLK GND/RESV 7 u
I2Cl_SDA 260 1 14 SDA/AP_SDA/AP_SDI
l!) l!) l!) l!)
z
~
.-1
~
.-1
~
.-1
~
.-1
o
o
.-1

GND

Compass

I2C Address=OOOllOl(OxOC)
'<I' (Y)
02604 38140269 VID=l.65-VDD .-1 .-1
ID ID
I2CO - SCL A2 SCL VDD B1 OOT18 - lV _E_ _E_
I2CO - SDA B2 SDA vss Al

z00
O'I
o
ID
N
gg
.-1 .-1
-1< -1< u
ll. ll. ID
(Y) (Y) High CAP
(Y) (Y)
D
N

o o N
ID ID
N N
u u --
.-1
o
ID
N
,.::¡

HOMO For AI

EY AI IN C2702 680 CODEC_ADC_AI

R270 R270
VOUT34 3V3

U2702
M
1
OUTl vcc+ 8 R2711 lOK ""'r--
2 7 GPI - 224 - CODEC_GPI019_W
INl- OUT2
3 6
INl+ IN2- .-1
4
vcc- IN2+ 5 o
.-1 N
o o ~ r--
r-- r-- U') N
NC 9 N N .-1 tJ
p:; p:;
.¡e
.¡e ~ r-- z
o
~ M o o
o • r-- .-1
U') .-1 N
.-1 .-1 p:;

CODEC_ADC_A

R2744 56K R2742 56K

M
o""' o
r-- r--
N N
tJ tJ

i:: i::
r-- r--
,q, ,q,
\O
r-
'<I'
°'\O
'<I'
o o

• o'<I' o'<I'
in N N
(V)
00
in ::, in
.-1 .-1 .-1
N
u
SIMO_VCC R2802 4.7K N
o
in
o
00 .-1 00
N o N
Cl 00 Cl
i:: N
.-1 .... u ....
VOUT18_1V8 R2804 200K

SIMO GPIO_l83_SIM_SD DET

USIMO_DATA R2812 00 SIM DATA_ N


NFC_SWIO_UIMO

USIMO_CLK R2811 47 O CLK_C


USIMO_RST R2813 100 MO RST_C
SIMO_VCC
VOUT9_SDIO_lV8/2V95 R2801 4.7K
SDC_DATAl SIM_DATA
SIMl&SD SDC_CMD

SDC_SIM_CLK
SDC_DATAO_SIM_RST
VOUT16_1V8/2V95

N \O N N N
N
00
N
u

D
o
.-1 .... .... ....
\O '<I' (V)
o o o
00 00 00
N N N
Cl Cl Cl Cl
GND

J2804
HIMANANO
DC_SIM_CLK MB CLKl D0_1 M6 DC_DATAO_SIM_ T NANO SD&SIM
M12 CLK2 D0_2 M14
D1 1 M9 DC_DATAl_SIM_D
DC_CMD M7 CMDl D1_2 M13
M15 CMD2 D2 Ml SDC_DATA
D3 M20 SDC_DATA
M2 NCl
MS NC2 VCCl M4 VOUT16_1V8/2V
MlO NC3 VCC2 MlB
Mll NC4
M16 NCS =3~ - -
GNDl f-'M J2802
M19 NC6 GND2 M17 CAF99-06033-1505
SIMO_ ;:__ _ _ _~l'--1 VCC_l
- - - -- ~2'-1 VCC_2
14241435* - - - - - -3----1 VCC_3

J2803 USIMO _T~C_O_N_ __ 4___, RST_l


RSDCll-1621 - - - --~5'--7 RST_2
GPIO_l83_SIM_SD_DET1 vcc ~ - - --~6c---, RST_3
2 GNDl USIMO =-"'-=- -~7----j CLK_l
3 GND2 - - - - -~8'-1 CLK_2
4 GND3 - - - -- -9----1 CLK_3
5 GND4
6 GNDS GND _ _ _ ___.l=0'--1 GND_l
7 GND6 --------1=1'7 GND_2
8
GND7 -1<
~ - - - ~1=2c---, GND_3
9 GNDB o
10 GND9 NFC_SW ;:.....U
,c:Io::M
= 0_ __.1'-=3'-1 VPP _l
11 GNDlO --------1~4'--1 VPP_2
\O
o ~ - - - ~1=5'--7 VPP_3
12 Ml 00

~ I/0_1 lG
- - - -~ 1~7---, I/0_2
14241431* ~ - - - -1- 8----1 I/0_3
02901
• =-:U~T~3~4-=.....:3~V
.:....::c
3 _ _ _ _ _~A~2'-i VIN VOUT r =
Al _ _ _ _ _F
~ P=A~V~D D~ 2~V
KEY BTB
J2912 J2913 CHARGE_PWRON_N
PI0_222_FP _AVDD_EN B2 ON GND 8 -1 - - - ~
c--

' 1 1>---- --- - 11


=- -< 1 p 1
Pl 1
e-=--- -~R 904 2K PWRON_N

J2914 J2915

KGPI0_210_KEY_DOWN ■
FPC BTB Female .rPI0_213_KEY_UPR.2901 vv2~K~_K_E_Y_U_P__l-----jl Pl 1 1 Pl 11 KEY_DOWNR2902 ~

J2910 J2911
KEY_AI_OUT *
1---1 Pl
* 1 KEY - AI IN- 2
N - - - ~ f--------~ Pl
~SPKOUT -p
.¡e .¡e .¡e .¡e .¡e .¡e N
l!)
P.. P.. P.. P.. P.. P.. P.. P.. R2907 O*
M
M
M
M
M
M
M
M
M
M
M
M
\O
lf)
\O
lf)
.¡e{/)
*,(
º,
Resistors Share PADs with Inductors
r-- 00
\O
lf) \O r-- ,...¡ N
,...¡ ,...¡ ,...¡ lf) lf)
s ""' ""'
°'Nu °'Nu
N
°' °' °' s
J2901 N
°'
N °'
°'u u u u u u
N N N N

VCHG_USB_CON

SPKOUT_N
61 Sl S2 62 V( HG_USB_CPN ~OC_USB3_TXO_P
.~
1
------~-~
2 USB3_TXO_P■

-------+--t--<, >------"----1 1 POl P02 2 T2901 •----


GPI0_238_FP_RST_Nl00 , R' 931
3
5
P03
POS
P04
P06 6
4
-, SI!KOUT_P ~OC_USB3_TXO_M 4~ 3 USB3_TXO_~

7 P07 POS 8 V<UT28_1V8


USB3_TXRX1_P 9 P09 PlO 10 I~CO_SDA
USB3_TXRXl_M 11 Pll 12
P12 c-=~- ------+--+---+--- I-iCO_SCL
13 P13 Pl4 14
USB3_TXO_P 15 16 USB_DM_CON
PlS P16
USB3_TXO_M 17 P17 PlB 18 USB_DP_CON J2904 J2907
19 P19 P20 20 ■ GPI0_197_AMB_INT_Bl2910 I\/\J'~2=K
~ _~l7 1 Pl I Pl f--'1-
"- - - - - - - - - - i I2CO_SCL
USB3_TXRX2_M
USB3_TXRX2_P
21
23
25
P21
P23
P22 22
P24 24
A_MIClN
A_MIClP
A_MICBIASl
~OC_USB3_TXRXl_Pl
.~ 2 USB3_TXRX1a-P

*
P25 P26 26 T2902 • ---- J2905 J2908
USB3_TX3_P 27 P27 P28 28 HKADC_INB_USB_C LD_TEMP ~OC_USB3_TXRXl_Ml ~ 3 USB3_TXRX1a-M p 1 e-=
1- ~R., 2""--"9_..,0_..,8'---' 2 I2CO_SDA
USB3_TX3_M 29 30 DRX OUTB
P29 P30 DRX_OUTC
31 P31 P32 32
TYPEC_SBUl 33 P33 P34 34 FES_MIPI_DATA J2906 J2909
TYPEC_SBU2 35 P35 P36 36 FES_MIPI_CLK VOUT34 3V3 1 Pl Pl 1
VOUT24_2V8 37 38 GPI0_046_RF11_S 4T H H H H
P37 P38
FP- SPI2 - CLK 39 P39 P40 40 VOUT14_2V85 ~ ~ ~ ~
FP_SPI2_MOSI 22 , __.R~2~9~3~2. __ _ _I - - - -41 42 GPI0_045_RF10_S 4T ,...¡ ,...¡ \O M
~
P41 P42 N
N o o o o""'
HKADC_IN3_USB_TEMP 43 P44 44 22 R2933 FP_SPI2_MISO
GPI0_184_HALL_INT_N
P43
, R2934FP_SPI2_CS_N
o °'Nu °'Nu °'Nu °'Nu °'Nu
TYPEC_CC2
TYPEC CCl
45
47
49
P45
P47
P46 46
P48 48
100
GPI0_242_SPK_ID
FP_AVDD_2V9
~OC_USB3_TXRX2_P2
~ .
_1_ _ _USB3_TXRX2--P
~~-

T2903
°'N
GPI0_237_FP_IN~OO , , -1z-9-3----,,----,------- 51, P49 PSO 50 ---- •
PSl P52 52 - .:ouTlB_lvB ~OC_USB3_TXRX2_M3 ~ 4 USB3_TXRX2--M ::, .¡e
P..
.¡e
::,
.¡e
P..
.¡e
P..
.¡e
P..
HS_DET_TEST \; v o r-- M M
53 P53 P54 54 ,...¡ M
M •
M
M M M
HSR_TEST 55 56 EiS_MIC_TEST
HS_SGND_TEST PSS P56
57 P57 PSB 58
HSL TEST 59 P59 60
P60 r=~--+-----1•~-+------1--+- ---1H=S~GN=D~T=E=S=T_ _
63 S3 S4 64 .¡e P.. D D .¡e
P.. o r-- N P..
M N • • M
VCHG_USB_CON 65 SS S6 66 M ,...¡ N M
""'
.¡e

M
M
P..
.¡e

M
M
P..
.¡e

M
M
P..
GND GND ,...¡
,...¡
N
N
,...¡
M
N
M
M
~OC_USB3_TX3_P

T2904
.~
1


2 USB3_TX3_P■

""' ~OC_USB3_TX3_M 4~ 3 USB3_TX3_~ TYPEC_CCl


°'Nu °'Nu °'Nu °'Nu °'Nu VOUTS_l
lf) \O
N TYPEC_CC2
""'N ""'N
°'u °'u °'""'N• r-- r--
i,: \O \O
i,: o
o o o""' o""'
,...¡ ,...¡
o""' o""'
TYPEC_SBU lf) ,...¡ lf) ,...¡
,...¡ ,...¡
SB3_TXRX2_P USB3_TX3_P
TYPEC_SBU
M lf)
SB3_TXRX2_M USB3_TX3_M o o
r-- 00

J2902 N N °'~ °'~ ~N ~N


N N
H C IN3 USB_T o o
11 Sl S2 12
M
o ""'o H C_IN8_USB_COLD_T
Y_AI_OUT IN_2 r--
P2 2 KEY AI IN lf) \O 00
1 lf) lf) lf) lf)
°'No °'No
3 P4 4 R2906 100
°'No °'No °'No °'No
5 P6 6 ..... .....
7 PB 8 ..... ..... ..... .....
9 PlO 10
13 S3 S4 14

USB_DP CON USB3_TXO_P USB3_TXRX1_P

USB_DM_CON USB3_TXO_M USB3_TXRXl_M

N N N N

\O lf) .-1 N M s:r


o o lf) lf) lf) lf)

°'No '---+~ °'No '---+~ °'No '---+~ °'No '---+~ °'No '-------1-----" °'No '-------11--------"
..... ..... r-1 r-1 r-1 r-1
• •

CBT TEST POINT TYPE C Vibrator
■ VBATT TP3011 ~ TP3~ ■ LM_VDP _TEST TP303 ~
TPSO-
TP3~
■ VBATT TP3012 ~ ■ LM_VDN_TEST TP303 0
TPSO-

, TP3006 ¡gj
1

TP50-
'1
TP3016
f---- - - - - - - -------,,¡gj
TPSO-SMD
Speaker
■ USB_SW_JIG TP3002 !Q<jl
TPSO-SM!l TP3 ~
TP306 TP3 ~
TP306 NFC
CHARGE_PWRON_N TP303 ~
■ USB
NFC_TXl_CON TP3081 0
Headset ■
R30011K BOOT_MODE_TEST USB_DP_CON TP300 ~


BOOT_MODE
1\/V' TP301 ~

NFC_TX2_CON TP3082 0

USB_DM_CON TP300 ~ .VSL_TEST TP3063 0

VBATT- TP305 0

VCHG_USB_CON TP300 0 .VS_DET_TEST TP3013 0

VCHG_USB_CON TP305 ~ .VS_MIC_TEST TP3015 0
VBATT- TP305 ~

.vs_GND_TEST TP3014 0
.VS_SGND_TEST TP3017 0 V BUCK BOOST
■ VOUT_PMUA_1V8 TP308 ~

HOLE J3039

~ 1'----< Pl
M3002 M3003 M3004 M3005 M3006 M3007 M3008 M3009 M3010 M3011 J3016

~ 1'--l Pl ~ 1'--l Pl ~ 1'----< Pl ~ 1'----< Pl ~ 1'----< Pl ~ 1'----< Pl HSHIELD1-0303A P1=1 - - ~


~ 1'-i p 1 l Pl l Pl l Pl
STIF p 2E-2- --f
p 3F.3- - - f
HOLE52 HOLE67 HOLE67 HOLE67 HOLE67 HOLE67 HOLE67 HOLE67 HOLE67 HOLE67 p 41=-4 _ _ -f
P SF--5------<
p 6E- 6- --f
p 7!-é:-7- --f
SHIELD P si---ª- --f
p 9F- 9__,--------f
P 1 OE-1 ~0 ------<
J3001 J3002 J3003 J3004 J3005 J3006 J3015 P 1 l F--
1~ 1 - -----<
p l 2E-1E-2------<
- 1----1 Pl 1-
Al e--- --~ P 13E-l'é-3------<
' 1 l I P1
-------j 1
2- - - J3013 J3014
,
¡ _ _

A2 e=- P l 4F- l _c_


4 _ -----<
A3 e--
3--- Al P l SE-1F--5------<
HSHIELDl-0904 HSHIELDl-2610 HSHIELDl-2637 HSHIELDl-0905 HSHIELDl-0305 HSHIELDl-0303 J3012 4 - -----1
A4 i::- Al 1 GND_J5811 p 16F-- 1~6- -----<
A2
1-
Ale-- 5- - -
A5 e-- A2 2
A3 p 1 7E-1--é-
7 ------<
A2e--2- A61=-6- -----1 A3 3
A4 P 1 SE-1 -=-ª------<
J3007 J3008 J3009 J3011 J3017 J3019 3-
A3e=-- 7- - -
A7 e-- A4 4
AS p 19F- 1~9 - -----<
A8 e--
8- -- o
(Y) P 2 OF-2 ~0 ------<
-------=--j
l p1 ~ 1'--l Pl u
1 1
I - - I 1

G03050662_MODZH G03113425_MODZH G03050716_MOD G03113390_MODZH


, 1 e----~ ' 1 e---- - ~
p.,
HSHIELDl-28180 HSHIELDl-0808 HSHIELDl-2819 HSHIELDl-0803 HSHIELD1-0404A HSHIELD1-0401A (Y)
(Y) G03113305_MODZH
' 1 1----~

M3014 M3015
Mark Point
~ 1'--l Pl ~ 1C--J P1
Hook
ID-BOARDA ID-BOARDA
M3016 M3017 M3012 M3013 HOOK
~ 1'--l Pl ~ 1----< Pl ~ 1'----< Pl ~ 1'-< Pl

ID-BOARDA ID-BOARDA ID-BOARDA ID-BOARDA


32.RF P

ETMl.O

USID OXC-1100-12

<I 03201
~ r--1---~ · 15040513
. BZT52C5V6LP 7
.-1

AVDD/PVDD need star routing

VBAT S

C3231 lOu
f - -- - ------j 1'

,I e
1 - ~C~3~2~0~3__,I ~ l ~u_ _
-
C3217 e---"2,,,2=-º- - --1 1'

O\
L3204 .-1
eY y y
N
M
lll
M
r,,
M
t, 2 .2u M
••
.-1 N
N .-< o o o ::,
~
00
Keep Short and Protect them
Cl TEST ~~ ~ ~
ll, ll,
VCCl A4
.-1
RF_ETO_VCC _PAM

ABB_CHO - ET N R3201 • ' o B2 VRAMPM LX i--=


G~4 _ _ _~ LB320l]:~ ~ 2. 4N RF_ETO_vcc PAM
ABB_CHO - ET_P R3202 • • o C2 VRAMPP
B4_- - - - - - - - - - ~
VCC2FB ,__
Lll
.-1 zM Need protect
VOUT28_1V8 LB32( 3 N
FEO_MIPI_CLK ")_ __..2___ 2
03 VIO
03201 2.2u
M
••

'<I'

Mipi need •
prote--■ FEO_MIPI_DATA
r--
1
1
' "' 2
< ~ - -~---~º~
01 CLK
--="-1
'-1 DATA RF8129TR13
C~4 _ _ _C
VPARAMP i--= =3=2=2=3='-,
.¡e
Lll o
M N LB320W N
N
M
N
M ~ - - - - - - -- ~C=3c_, VBATAMP SWl Al
.-1
.-1
u u SW2 A2 o
G2 A3 N -
ULX SW3 -
'<I' 00 .-1 ~
M N O o:,
L3203 lll lll
.-< N
lll lll
M.., .-< N M.., N N N
1 z z zz z z zz M
u
M
u
M
u
•'
:'.<'. ....r,, N
r,¡ N
....r,¡ ....t,
.-1
...
r,, r,¡ N
M ... N
r,¡ r,, .-1
~ .-1 .-1 N N
N N N N '2. i:: i::
~
M M M M O N Lll i::
00 • • fl,
u u u u Lll
2 -< '° N .-1 • o
00

i:: i:: ::, ::,



2.2U o o N N
r-- r-- • • -
-
Lll '<I' '<I' '<I' N N
o o
N N
<."'! ~

::, ::, Notel: Fly Cap voltage must higher 8V


.-1 .-1
Note2: VCC2 is boost output, Cap Voltage Range must be Higher lOV.
33. RF Transceiver_HI6363 - 01

A2 GNDl GNDSO P13


AB GND2 GND51 R2
A14 GND3 GND52 RB
B1 GND4 GND53 RlO
B13 GNDS GND54 R12
B15 GND6 GND55 R14
es GND7 GND56 Tl
D3 GNDB GND57 T9
D13 GND9 GNDSB T13
E12 GNDlO GND59 U4
F3 GNDll GND60 U6
FS GND12 GND61 UB
F9 UlO
F13
GND13
GND14
03301 GND62
GND63 Ul2
G4 GND15 GND64 Ul4
HI6363
GB GND16 GND65 vs
Gl2 GNDl 7 GND66 V7
H3 GND67 V9
GND18
HS GND19 GND68 Vll
H7 GND20 ~ GND69 W4
H9 GND21 o GND70 W6
J4 GND22 GND71 WB
J6 GND23 GND72 WlO
JS GND24 HI6363 GND73 W12
JlO (U Yl
GND25 GND74
K3 GND26 u GND75 YS
KS GND27 ro GND76 Y15
K7
GND28 ~ GND77 AA2
K9 GND29 f..l GND78 AA14
39200836 K13 (U
GND30
L2 GND31 .l,J
For LB RX_LB1_2_RFICO D15 RXB_LBl RX_lA_I A4 CHO_RXA_ L4 GND32 e
For LB OSOS RX_LB2_Listenin _2_RFICO Cl4 RXB_LB2 RX_lA_Q B5 CHO_RXA_ L6 GND33 H VDDlO_ANA_TXl V13
For B2/40 RX_HB1_2_RFICO Jl4 RXB_HBl RX_3A_I D5 CHl_RXA_ LB GND34 VDD10_ANA_TX2 W2 C3320 470N
For B3/39 RX_HB2_eLNA_HB2_2_RFICO Hl5 RXB_HB2 RX_3A_Q D7 CHl_RXA_ LlO GND35 N VDDlO_ANA_RXB Cl2 C3304
For B?/41(38) O Gl4 RXB_HB3 RX_2A_I ClO CH4 - RXA- L12 GND36 u C3322 ln
For
For
Bl(4)/34
MHB OSOS
RX_HB4_eLNA_HB1_2_RFIC0
RX_HBS_Listenin _2_RFICO
F15
El4
RXB_HB4 03301 RX_2A_Q AlO CH4_RXA_ L14
M3
GND37 t/l
H
VDDlO_ANA_RXl F7
C4 C3317 ln 2.2u
RXB_HBS GND38 VDD10_ANA_RX2
M15 RXB_UHBl RX_lB_I A6 CHO_RXB_ MS GND39 ~ VDD10_ANA_RX3 G6
Kl5 HI6363 RX_lB_Q C6 CHO_RXB_ M7
RXB_UHB2 GND40
RX_3B_I D9 CHl_RXB_ M9 Wl4 C3316 470N
GND41 VDD18_ANA_TX1
RX_LB1_2_RFICO D1 RX_3B_Q D11 CHl_RXB_ Mll V3
RXA_LBl GND42 VDD18_ANA_TX2
RX_LB2_Listenin _2_RFICO C2 B11 CH4_RXB_ M13 H13 C3318 ln
RXA LB2 RX 2B_I GND43 VDD18_ANA_RXB
RX_HB1_2_RFICO J2 RX_2B_Q A12 CH4_RXB_ N2 UT3 1V8
RXA_HBl GND44
RX_HB2_eLNA_HB2_2_RFICO Hl N4 VDDlB_ANA_RXA B3
RXA HB2 GND45
RX_HB3_B7/41 38)_2_RFICO G2 R6 CHO_TX_I N12 E4 C3314 ln
RXA_HB3 TXl_I_P GND46 VDD18_ANA_RXPLL f - - - - -- ------1
RX_HB4_eLNA_HB1_2_RFIC0 Fl T7 CHO_TX_I Pl T3 C3312 ln C3303
RXA_HB4 TXl_I_N GND47 VDD18_ANA_TXIF
C3329 C3328 RX_HBS_Listenin _2_RFICO E2 RXA_HBS TXl_Q_P NS CHO_TX_ P3 GND48
Ml TXl_Q_N P7 CHO_TX_ P9 V15 VOUT8 lV
RXA_UHBl GND49 VDDlPB_IO
Kl RXA UHB2 (U
lp lp C3315 2.2u
Xl - LBl - LB AA6
u TX2 _I_P R4
TS
3.6N Y7
TXl_LBl ro HI6363 TX2 _I_N
N6
TX1_LB2 ~ TX2_Q_P
AAB PS ln
Xl - HBl - MB AAlO
TXl_LB3 f..l TX2_Q_N
TXl HBl (U
Xl_HB2_HB AA12 MRX_IF - I NlO CHO _MRX-
Xl_HB3_GHB
TXl_HB2 .l,J
302 2 . 7n Yll Pll CHO MRX-
Y9
TXl_HB3 e MRX_IF_Q

Y13
TX1_HB4 H Fll RFICO_MIPI
TXl_UHB RFFE_CLK
C3327 C3326 GlO RFICO_MIPI
ri RFFE DATA
AA4
Y3
TX2_HB1
TX2_HB2
u CHIP_ID
TX_GMSK_DATA
C-------'E=l~O~------'
Tll
1 ,

GMSK P
lp t/l
1.2p*
H FREF_IN Tl5 L3305 ln C3325 l ln RFO_CLK_38M\
U2 P15R3305 37.4K
MRX_l ~ REXT
Kll VRE
REF_V
Vl MRX 2 R3304 lOK C3324
PLLTEST_P E6 07092919 R3304 Need 1%
PLLTEST_M EB 302
Hll ln*
ll') ANATESTl 470n
o p. ANATEST2 Jl2
(V)
(V)
(V) Nl4 PORB_TEST
(V)
ifPL_OUT_MRXl lJ

CPL_OUT_MRX2
34.eLNA PRX

LNA_Module0_6H02
03404

-=l=-"/-=--4___..._P-=--RX_~L_N_A~I_N_ _ __ K_7----¡ HBl INl


'-"3'---'4:......:4c.:,CA:c,_e...,P:....,RX=-L:e:eN=A'-F"=I:.e:N,___ .,_
L"'8---j HBl IN2
, 1 1----~K=9___, HBl IN3

3_PRX_LNA_IN HB2 INl GB


~ _ __E~B___, HB2_IN2 F9
39_4CA_PRX_LN f-I_ N HB2 IN3
RFICO
~2?=-'P~RX
=?-===
L~N~A~ I~N':--::-------=J~B_, HB3_IN1
~4~0""-'P~RX
== L~N~A,._,,I~N'---------~H~9---1 HB3_IN2 UHBlOUT J2
UHB20UT LZ
41/38_4CA_PRX_LNA_IND9 HB4 INl
7_PRX_LNA_IN CB ATEST r-0
~ 3~ - -___,
HB4 IN2 1

VDDlPB r-E =6~ - - ~ VOOT3_1V


VDDIO f---G-6~ - - - ~ - - + - - - - OOT8 lV

GNDl ~ 3~
f---B --
C3403C3404 C3405
20_PRX_LNA_IN A6 C6
LB2 INl GND2
12/17_PRX_LNA_IN BS LB2_IN2 GND3 C4
5/26/18/19_PRX_LNA_Ibl4 GND 4 1---'D..._,?.________, 33p 10n 39p
LB2_IN3
GNDS D5
1 1--- -~K=S'-j UHBl_INl GND 6 1---'E...Z._________,
1 1--- -- L_4___, UHBl_IN2 GND 7 1---'F._,?.________,
1
L6
1--- - ~ ' - , UHB2_IN GNDB F3
close to
1 -03404
- ~ ~ ~ ~ -, GND 9 1---'H.._,?.________,
MIPI_DATA R3401 E4 SDATA GNDlO H3
El_MIPI_CLK R3402 G4 SCLK GNDll J6
GND12 J4
=.,,c=-.,::....:l~V
~8"'------ - ~ - - - ~F~5 USIDO GND 13 1-'K ,,,3._________,
' 1 1----~H=S'-j US ID 1 GND 14 1---'K=l._________,

Free Inputports should be connected to GND!


RF_ETO_VCCl_PAM
35. '
orvo&SKY C3546 5.6D 4 . 3n ( 0 0 0 1¡__-,-
L,.,3,.,5_.._0_.._2_----=B--_4_-_0...,_,P'---'RX=...,L=N=A=I
,---
1
-

PA TEMP DE CTl
~

C3548 2.7D 4. 3n ( ◊ 0 0 1 L3505 VOUT5 - lVB


1
00
.--1
(1'I R3501
N
USID=OxF-1111-lS(Qorvo) -
- -
-
L3519 1 O O 9.ln 1·
1 (1'I
o
I"-
RF_ETO_VCC2_PAM 100K
USID=OxE-1110-14(sky-MB) ~-1.._---r----'L::"3::-'5~0~:;é-l
.. , L3518 (
6 1,,_ _::,-:_~,~3~n'--------~-a>----B--___:___.
10n T
7P =X ...L=N
. ::..:R =A~ I=
1
o

C3547 5.6D .--1 .--1 1


HKADC_I
U) U)
USID=OxF-1111-lS(sky-HB)
' 1

C3521 2.7n ' • GSM- HBPA- B34/39/41 - TRX 1 RT350 1


>
' 1

MB_TRX_C C3518
">
00 in
o o C3504
in in 1 .>
(Y') (Y')
R3512 1 '
p:: p:: /
10n OOK
'
2.4p 1 1
Place near to 035 1 1

- -
- -
--
- - - - -

GND
Xl_HB2_HB "3503 / O O 11 • Bn
N in
o •
in
(Y')
o SKY use
r - t ~=
u
' 1
.--1 i<
o 6 ..__
S INK f---"'-3 __ 9
'2. in SKY use
in (Y') -
-

o

u i<

.--1 9
in
20 HB_IN GND25 62
M Co-Pad
C3505 C3506 C3516 C3503 C35 .4 21 61~ - - + -~ 519 33P Qorvo HB Dernostic
GNDlO HB_CPL_OUT ~ !-
o
22 SCLK2 GND24 60
23 SDATA2 HB ANT 59
~ >------"=~"--'=
Oorvo use"'------'==
MHB_T=
• . 5p 1.5p lOu 220 2. 4¡:: 24 C3517 & C3817 Co-pad
VI02 GND23 58
25 MB_ANT 57
MHB_ANT C3511 8.2P ' . C351 7 33p* §KY--1íse MHB_TRX_SKY ■
HB_VBAT 03501 ole--+-
1

~D~BflUfC=K~B[O,!!O~S~T~= =j ~
/~CO~U._..T~2=8"""'=-1V~B=-=~------'
EO_MIPI_DATA
~ToolÍ11ii~
- 5 04 I ◊ ◊ O I ln
í >--------------+-+--
=== • 26
27
f-------~'---'-----j
28
MB VBAT
VIOl QM77031
GND22 56
MB_CPL_IN 55
MB_CPL_OUT
.--!
in
(Y')
u
e: 517 C3532 Co-pad
& Qorvo MB Dernostic
C3532 ( O O O 1'-l"~·~ª~n~ _ _ _MB
__T_RX
__c_N_
SDATAl MB_CPL_OUT 54
EO_MIPI_CLK 29 SCLKl
47150671 GND21 53
30 GNDll NC3 52
C3509 C3512 31 MB IN MB_TRXl 51 1-- "-"'----•
R3 5 O3 · ;',.,;,_,.l~ __, 1,
i<
p.,
.--1

lp* lp*

R3510 >------_,.._2__.7_ A R3 513 CPL_OUT_MRX

Xl_HBl_MB L3501 ( O O O 1 2. 7n
R3509
>
ATT=lldB
C3508 C3507 30

1.5p lp

.--1 .--1 .--1


U) U) U) GSM_HB_P OUT

'
C3534 2.7n '<I' L3529 I o O 17. 5n
o \0 I"- 1 '

in o o
C3513 5.6n (Y')
p::
in
(Y')
in
(Y')
L3511 / O O O l 4 . 7n B2 - PRX_LNA_I
p:: p::
RF- ETO - VCCl - PAM -
-
-
- L3521 / O O O 15. ln
1 '

L3509 I e o o 15.ln B3 - PRX_LNA_I


L3528 / o o .3n
1 '

-3510 I O O O • 3nB1/ 4_PRX_LNA_I


C3550 2.7n

C3549 5.6n
RF- ETO - VCC2 - PAM

4.7n L3513 B34 - 4CA- PRX- LNA-


B34/39/41 CA 4 PRX
5.ln L3507
1'

Z3504 13010712
B34/39/41_CA_TRX_IN L3508 1.8 7 IN OUT_LTE_B34 3

e 2 GNDl OUT_TD-LTE_B39 1 3515 3N B39- 4CA- PRX- LNA-


in
C3525 • 4 GND2
.--1 6 GND3 OUT_TD-LTE_B41 5
8 GND4
3.3n L3514
1'
0.5p*

\0 L3520 3.6n
N
in
1
2.2n L3517 B41/38_4CA_PRX_LNA N
(Y')
u
C3515 33 3.9n L3516
1 '

close to Z3504
36.LB orvo &SKY R3604
R3612 51
USID=OxF-1111-lS(Qorvo-PA) ATT=7dB Z3607 47050085
ATT=2.3dB
VOUT5_1V8 51 7 CPLl_RFl o B- CPL- OUT- Rever
CPL1_RF2 6 609
00
.-i
O'I R3606 USID=Ox8-1000-8(Qorvo-ASM) 07092141
B- forward- CPL- OUT R3603 18
07092141
2 CPL2_RF1
R36 2 /'--_1_8_ _ _ _ _-=-----j CPL2_RF2 3 R3622 1
N
O'I
Z360 R3607 R3608
o LB_TRX
r-
o 100K USID=OxD-1101-13(sky) 1 RFl_IN/OUT RF2_IN/OUT 5

' 1 ' - - --
4
~'-----j GNDl GND2 8 300 300
2 1

,I I L 3 6 O2 1 e---=1'---'.---'8.1:'
:'. ...__, C3606
RT3602 To Main ANT DPDT
C3616
PA TEMP DECT R3613 51 56 LB_TRXl ANT_LB 2 ANT_LB
5.ln 0.5P*
R3619 1 55 LB_TRX2 C3650
10n ">e-11 OOK R3618 1 54 LB_TRX3
Place near to 03601 PRX 53 LB_TRX4 CPL_IN 6R3605 1
C3622 e-]~~------=-;:-;;;--;:--------------------"
1
33p* G'-"S:=.:M~H=B:...::_
P=-=A.....=:.
0-=- U=
T ---■
8 PRX 44 B8_RX CPL_OUT 4R3614 1 Z3606
12/17_PRX 47 Bl2_RX long line 13030169
20_PRX 51 B20_RX 2GHB_TXOUT 8 C3613 33 ~3~.3~N
"------- - ~ 1IN OUT'~3f---_ _ _ ___..::c
C~3 -=-
6~1~
97 1.2n GSM- HB- PA_OUT_2_HB
RSE 26_PRX 49 B26_RX o
ID
fi 10100796 r-
.-i
M
,,,_, 6 3 4
¡_______.:C 1 * R3611 1 39 7 L3627 ,.:i 2 ID
LB_TXOUTl GND4 M
C3632 C3607 R3610 1 38 9
LB_TXOUT2 GND5
R3617 1 37 LB_TXOUT3 GND6 10
p.
, I c--------'"C'--+--"'"6,_...3_..6_ 1 * R3616 1 36 LB_TXOUT4 GND7 11 LO
1.5p 12 •
03601 GND8 o
OUT28_1V8 604 2N 20 17
EO_MIPI_DATA R3620 ~lL__ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _~~ 19
VIO
SDATA
QM77033 GND9
GNDlO 21 8.2n* GSMLPF
EO_MIPI_CLK R3621 18 ¡
A511~ - - - - - - - - - - - - - - - - - - - - - - " SCLK 47150670 GNDll 23
GND12 25 LO

Xl_LBl_LB 601 26 LB_IN_4G GND13 27


Xl_LB2_GLB 24 LB_IN GND14 28
GND15 29
D_BUCK_B00S 14 VBAT GND16 31
'<I' 13 33
o .-i VRAMP GND17
C3618 C3633 ID ID
GND18 34
M M
u u Xl_HB3_GHB 22 MB_IN GND19 35
GND20 40
1.5p lp ::,
15 2G_VCC1 GND21 41
p.
.-i o 16 2G_VCC2 GND22 42
N
.-i GND23 43
_VCCl_PAM 30 3G4G_VCC1 GND24 45
_VCC2_P 32 3G4G_VCC2 GND25 46 03603 47140236
GND26 48 B- CPL- OUT - Reverse 1 RF2 CTRL 6 GPIO- 063 - RF28 - SP
00 O'I 00 M
680 C3608 M M LO LO 1 GNDl GND27 50 2 GND RFC 5 CPL- OUT- MRXl
ID
M
ID
M
ID
M
ID
M
3 GND2 GND28 52 B- forward- CPL- OUT ' 1

3 RFl VDD 4 VOUT14_2V85


5 GND3 GND29 57
N
o
R3601 ID
M
u
07092920
ATT ---==-GND p.
M
M
602 .-i .-i ID M '<I' LO N LO o
o N N N N .-i o N
ID ID ID ID ID ID ID ID
M M M M M M M M
<I <I u
i,.;¡ i,.;¡

150404 B.fo5 3 o lOU lOU lOU lOU lOU 10n 120


N N ll,
M
M

C 620 close to SIM card

- PRX- LNA- IN L3635 2n B8_P

L3631 2n
' 1

ND 1 L3619
' 1

B 2/17_PRX_LNA_IN L3636 8n 2/17_P


GSM900
L3632 2n 13010598 Z3601
' 1

SM900_PRX_LNA_IN 15 L360 4 UNB_PORT2 UNB_PORTl 1 3.6n L361(X;900_P

- PRX- LNA- IN L3634 5n GNDl 2

L3630 2n 5 GND3 GND2 3


' 1

6/18/19_PRX_LNA_ll:la633 2n

,¡ L3629 .ln
37. GS HB

47140258 & 47140280 pad overlapping

GSM_HBPA_B34/39/41_T_jA

Cc-pad
; ' 33P* C3705
fl,
(V)
(V)

,..¡
routing with short stu
o
r-
(V)
CJ

GSM_Tri-SAW_SPDT_RFC

00
47140258

~ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- ~1- RFl 7-
RF2 >-- -------------- B34/39/4l_CA_TRX_I

U3702 U3701
47140280 1 .__~G=N=D_ _,2'-----" GNDl GND2 e-=-
6 -~G=N=D------0 1 '

GSM_HB_PA_OUT_2_HBP 1 8 B34/39/4l_CA_TRX N
, - - -- ----, RFl_l RF2_1 >----- - ,
2
> - - - - -----''----, RF1_2
9
RF2_2 f---"---- ~ ....
GPI0_058_RF23_SPD~ l O_ _ G_S_
RFC t - M_ T_r _i _- _SA
_W_ S
_ P_ D
_T
___RF
_ C VOUT14_2V85 ~ _SPDT
CTLl - --3----1 VDD E-t 5 _ _ __,G=P'---=I'-"0'----"0'-5
CTRL 2 i----=-- ='--"9-=RF
=--=
GPI0_059_RF24_SPD~ u
CTL2
GNDl >---3- - ~ ....
_O_U_T_l_4~ 2_V_8_5_ _ _ _4
--"----j VDD 7 ---1
GND2 f----'- C3702

GPI0_058_RF _SPDT
33p
J3803
14240928
38. RF Front End Switch
I N ..... 1 J3802
L t!l, - u ..:~ t!l J 14240928
.-< N

L3830 33P DIV_MHB_ 1


I N ..... 1
Down Main
RSE L t!l, - u ..:~ t!l J J3804
14240433
C3814 C3815 L 8010Ác3811: rir.eóúi>>¼i 2 a ... . . . N
.6n C3803 2.7N C381 33p ln 1 2
z
N
• 0.5p* 0.5p* 10100500 00
o C3811 rnust be capacity 4 3
,-1
C3801 00 N L3801 L3807
o (V) o
,-1
,.:¡ 00
need keep area 1.83mm*l.83mm <D 00
(V)
(V)
,.:¡
O\
r- ,.:¡
o p.
o 0.5P* Lll
,-1 r- p. 68n
68n
B DPDT N
,-1
00
(V)
u
o
,-1
p.¡
Lll
o
• -
-
o
• Lll
r-
o

32_ANT_DErl' 2

C3802
03803 47140273 -
-
PIO_O - RF07_DPD'll CTL RFl
4 MHB DIV ANTl
6 MHB_MAIN_ANTO c___ _ _ _ _ _ _ _ _ _ ~L=3,_..,0l rnust be inductance 33p
RF2
1 GNDl RF3 2 C3805 1.5N MHB_D
C3808 3 GND2 RF4 8
5
GND3
7 T14_2V8
GND4 VDD
33p ""o
00
(V)
C3807 ,.:¡
J3805
14240928
10n p.¡
Lll
o

Down LB Main
RSE 1 N ..-i 1

.. ., , , .. . . , ...... 2 .... L t!l, - u ..: t!l J J3806


~-------------',
L38200AC3816:IiI.BOOI»¼i a 14240433
..., .-< N
MHB_TRX_SKY
LB_MAIN_ANTO C3819 33P 3816 6.2 1 2
C3517 & C3817 Co-pad
U3803 Truth Table C3816 rnust be capacity
L382 L3818 L3821 4 3
close to 03802
CTRLl State ""00 ,-1
,-1
N

o RF1-RF3,RF2-RF4 (V)
,.:¡
00
(V)
,.:¡
L3844
1 RF1-RF4,RF2-RF3 68n 68n 68n
p. p.
,-1 Lll LB3802
,-1
• 52 ANT_DErl'l 2

33n* C3818

L3820 rnust be inductance 33p

J3801
14240928
need keep area 1.83mm*l.83mm

LB PDT 1

L t!l
-
u ..:
....

t!l
1

J
..., ri N

47140273 3802 B- DIV- ANT2 3839 2.2n DIV- LB - ANT

GP 0_049_RF14_DPDT 9
CTL RFl 4 LB_MAIN_ANTO
RF2 6 LB- DIV- ANT2 L3840
1 GNDl RF3 2 C3810 2.2N LB_TRX
3 GND2 RF4 8
5
GND3 C3809
7
GND4 VDD 10 VOOT14_2V8

0.5p 5.6n*

need keep distance more than 0.2mm

U3803 Truth Table


CTRLl State
o RF1-RF3,RF2-RF4 i::
Lll

r-
1 RF1-RF4,RF2-RF3

C3806 5 . lN LB D

Lll
o
00
(V)
,I C3804 lOu ,.:¡

p.¡
N

avoid colliding device ,-1


39. eLNA DRX
6H01 Frequency Range
LBl - INl 460-895MHz
USID=OxD-1101-13 LB1_IN2-3,LB2_IN_l-3 703-960MHz
HBl - INl-3 1447-2200MHz
LNA_Modulel_6H01
HB2 - INl-3 1805-2200MHz
HB3 - INl-2 2000-2690MHz
03901 47090145
HI6H01SV100B HB4 - INl-2 2000-2690MHz
c--- - -K- 3-1 HBl INl HBlOUT H9 L3902 . 2n DRX HB4_eLNA_HB1_2_ co
=3c....,4~ D=RX
1

= -:L=N=A~ I=N'------'_¡__ _ ___..L'-2""----j HBl_IN2 HB20UT F9 L3906 . 2n DRX_HB2_eLNA_HB2_2_ co UHB1_IN1,UHB2 3400-3800MHz


_l~_~D_RX ___L_N_A~I_N_ _ _ _ _ __K_____, 1 HBl_IN 3 HB30UT l-'G=8.___ _ _ _--::L~3~9~1-;;'-l----'/~.::r-;~~;;-"·";;2~n.__---:D=':RX~"=:H:::B~l'""'::'.2::'RF~I~C;--;;0~~
HB 4ouT D9 L3901 .2n DRX_HB3_B7/41 38 _2_ ICO UHBl - IN2 5150-5925MHz
=3._..9__...D=RX=-:L=N=A~I=N,_____ _ _ _____.. G'-""----j2 HB 2_IN1 HBSOUT f-C~8f------_ _ _ _ _L~3_9~0~3 -----, .2n DRX_HBS_Listenin _2_ ICO
Fl HB2 IN2
, c--- - ---1
1

=3C,, , ,C"'.D.:c,
RX ~ L =N =A=.:cI=N'------__J_ _ _ __..E'-2""----j HB2_IN3

:'-'2~ 0'=RX
:'~ L =N:':A-='=""I'-=
N'-:-::----------"J"'2-----1 HB3 INl
-_,4._..0__...D=RX=-:L=N=A~I=N,_____ _ _ ___..H=-jl HB 3_IN2 UHBlOUT JS
UHB20UT LS
::....:....""""--'~L
=N=A=.:cI=N,_____ _ _ _ __..D~l HB4_IN1
-~~--O_RX~_~L_N_A~IN _ _ _ _ _C_____,2 HB 4 _ 1 N2 ATEST 0 7- ----,
r-- 1

LBl_INl
' 1 f------- ~B
~ l-j VDDlPS 1---'E.._.4. ___ _ _ _____, > - - f------V-=-=0.._.U._.T=--:3:---1_._V
,,_.8c-ccD=RX~L=N=A_c___cI=N,_____ _-+-_ ___.A=---j
2 LBl_IN2 VDDIO 1---'G. _.4. ___ _ _ _---+--+--~ VOUT8 lV
=3'-, LB1_IN3
f---- ~ B
, 1

GNDl >-'B~7- -
:-::2:-::0:-"7:-
0-::-
RX---=":L::c:N-::--A~ I=-
N-----==-=------ A------¡ 4 LB2 INl GND2 r C=---=4- -----, C3912C3913 C3914
12/17_DRX_LNA_IN BS GND 3 l-'C.._..6- -----,
=5._../._,_2_...6_._/_.18.._./._..lc-,,9~0.._.RX"-=L.._N_A_I_N_ __..A'-6"---j LB 2_IN 2
~ - ~ ~ ~ ~ ~ - ~ - --------, LB2_IN3 GND 4 1-"o._..3_ ____,
GND 5 1---'D"--"S_ ____, 33p 10n 39p
, 1 f-------~K=S-j UHBl_INl GND 6 1-"E=S_ ____,
, 1 L6 UHB1_IN2 GND7 1-"F~3_ ____,
' 1 =4'-, UHB2_IN
f-------~L GND 8 1-"F._.?_ ____,
GND 9 l-"8=3------,
::::E":'l_ :M
-='
IP::--'I~ D=A'=T=Af------_ _ _ _ _=E-=-----,
6 SDATA GND 1 O 1-'8=--'-7- -----,
_E_l~M_IP_I~_~C~L_K_ _ _ _ _ _~G------¡6 SCLK GND 11 i-'J =4------,
GND12 r J~6------,
- - ~F=---=S-j USIDO GND 13 1-"K "-'?_ ____,
'---"-'"U'--"T_-,8--=-lV_:_:8.,___ _ _______, _ _ _H~S-1 USIDl GND 14 l-"K=9------,

Free Inputports should be connected to GND!

6H01 Frequency Range


LBl - INl 460-895MHz
LB1_IN2-3,LB2_IN_l-3 703-960MHz
HBl - INl-3 1447-2200MHz
HB2 - INl-3 1805-2200MHz
HB3 - INl-2 2000-2690MHz
HB4 - INl-2 2000-2690MHz
UHB1_IN1,UHB2 3400-3800MHz
UHBl - IN2 5150-5925MHz
40. DRX LB Z4002 13010526

B20
20_DRX L4011 2n 1 OUT L4014 15n B20_DRX_LNA_I

2
04001 47140305 L4013
EO_MIPI_CLK R4001 1 3 SCLK VDD 26 VOUT3 lV 5 GND3
EO_MIPI_DATA R4002 1 2 SDATA
B_DRX 22 ANT_LB VIO 1 VOUT28 lV
12n
B DRX 24 ANT_MHB
20_DRX 20 AUX_LBl
12/17_DRX '<I' M
19 AUX_LB2 o o
17 o o
AUX_LB3 '<I' '<I'
16 AUX_LB4 u u

5
6
AUX_MHBl p. o
.
AUX_MHB2 M .-1
2_DRX 12 AUX_MHB3
M

l_DRX 11 Bl_RX
3_DRX 14 B3_RX
7 DRX 9 B7_RX
B_DRX 18 B8_RX GNDl 4
5/26/18/19_DR}(ls B26_RX GND2 21
34_DRX 7 23
39_DRX 13
B34_RX
B39_RX
GND3
GND4
25 B12/17
40_DRX 8 B40_RX GNDS 27 Z4006 13010572
41 38 - DRX 10 B41 RX GND6 28 12/17_DRX L4045 ln 1 UNB PORTl UNB_PORT2 4 4039 Bn B12/17_DRX_LN IN

2 GNDl
L4018
3 GND2 GND3 5

15n

BB_DRX L4001 12n BB_DRX_LNA_IN


B8
L4008 12n
~ -- -----j 1'

B26 L4002 10n B5/26/18/19_DRX_LNA_I

L400 10n
~ ~ - -----i 1'
41. DRX__

HSC01005-HDTA
B1 B1 DRX ----~~ L4101 4.3n
~-~--------~-~~-~
B1 DRX L IN

L410

HSC01005-HDTA
B3_DRX _ _ __,,L,_,4=1=0--'"" ~-4_ . _3n
_ _ _ _ _ _ _B_3~-~D_RX~_~L_N_A~I_
B3
L4119

B7 B7_DRX

L412 27n*

HSC01005-HDTA
B2 B34_DRX L4106 L__4-----.3~n--___ _ _~B~3~4._...D~RX=-~
Z4101 13010466
2_DRX L4124 600 1 UNB_PORTl UNB_PORT2 4 4123 3.3n B2_DRX_LNA_I B34 L410
.¡e 2 GNDl
i:: L4122
r-
N 3 GND2 GND3 5

3.6n B39 B39_DRX L4104 3.9n


lf)
N
,--1
L4103 3.3n
'<I'
,.:¡

B40_DRX L4121 5.ln


B40
L4120 27n*
~ ~- ---, 1'

B41(38) DRX 3n LNA IN


51.Hi1103 BB

HOST PROCESSOR

PCIE_C K N u4 PCIE_CLK_REQ T4 CODEC BT PCM CLK


BF_PCM_CLK/FM_I2S_CLK ~R~-----~C~O~D~E~C~B=T;;-;P~C~M~M~O~S~I-------:--
IE_REF _M R5104 0 v3 PCIE_REFCLKM
BF_PCM_DI
5
¡_t<T~6- - - - -~C~O~D~E~c"""'=a~T=-=P~C=M'-:"""M:-=I'=:S'=o: - - - -
IE_REF _p R5105 0 VZ PCIE_REFCLKP BF_PCM_DO/FM_I2S_DO µ ~ - - - - ----'::C~O~D~E~C:""":B~T~P~C~M;";-~S~Y~N~C; - - - - -
1I v4 PCIE_RESREF BF_PCM_SYNC / FM_I 2 s_wS ,f---~R~4_ _ _ _ _,.,_-,_..,_..._-.._....__...__.-=._._.=-=---
C IE_PE ~1]---"N'-------=R5-=1-=0-=3:..___=
2~0~0_ _~R:=1~1----¡
'-------1
PCIE_RST /WL_SDIO_FC
GNSS_PPS_OUT/AGPIOl
05100 BFGI_UART_CTS l-"
R9 SOC_GPS_UART4_RTS_N
P~9-----~s~o'=c:---::G~P~S~U~AR~T~4-.;---C;:;;;;T~S~N;---
. -=P~C:c.:I~E:',..,_T~X~=OM~- - - - - - - - - - ~º=17 PCIE RXOM HI1103Vl00MPW2EC BFGI_UART_RTS l-""
P~l-O_ _ _ _---'=s~o~c'-'=G=P~SÓ-::U=AR~T~4¿-=T~x=o=:..__-
!_
1--~-=P~C:c.:I~E::,.,._T~X~O~P-==-c~---,-,---;~ =--------:T~l1 PCIE RXOP qt BFGI_UART_RX l - " " ~ - - - ----=s~o'=c:---::G=P~S~U=AR~T~4¿-RX~~D- - -
CIE_RXO_M C5115 '---"l~O~O~N..__ _ _-=P-=1~ PCIE_TXOM BFGI_UART_Tx 1~N~9
>- _ _ _ _ __..,_-,._-.._...._.'-"'--==~----=---
. .__ _ _~N~1~
r,....:::C-=I~E'-=-RX=-=0=--=P'--------'C~5~1=1~ 6 ---, ~--'1=0~0~N PCIE_TXOP
~ M7
TP5108 (:8lif--=p1ace close 1103 pin o COE_BANT_PRI/GNSS_BLK_EN
AGP I O19
1----""-----,
)--
_ _ ___:W~L=~D=I-=O=C~L=K.___ _ _ _-------:U~?:, WL_SDIO_CLK COE_BANT_S TATUS / AGPI020 LS
_ _ __,W.._.L..._, DIO_CMD UG WL_SDIO_CMD COE_ISM_PRIORITY/AGPIOS R3
_ _ __,_W=L= DIO_DO VG WL_SDIO_D0 COE_ISM_RX_ACT/COE_UART_TX P3
VOUT18_1V8 _ _ __,w.._.L..._,_..,..,D~I~O~D~l;-------~º~5:---1 WL_SDIO_D1 (U
AGPIOlB ,>-~N~Z~----~G~P-=I-=0._,2~6:c.:5..__.L~T~E=RX=~A=C=T=I~VE~
_ _ __,W.._.L.._"'S~D-=I-=0.__..0=2.___ _ _ _ _ _V~S~
_ _ ___:W.._.L.._c::Sc::D-=I-=0.__..D-=3'------_ _ _ _ __V_?_
WL_SDIO_D2
WL_SDIO_D3 o COE_LTE_INACT/COE_UART_RX

TP 5107 (¿<¡,___ _ _ _ _ _ _, ns COE_UART_TX/AGPI04/SSI_CLK


N3

~ COE_LTE_RX/AGPI06 GPI0_266_LTE_TX_ACTIVE
MS
COE_LTE_TX/COE_UART_RX/AGPI03 ~=-,-------'=!.~=...:=...:c..:c....=====- - -
TP 5 ll? (¿<¡GPI0_202_BT_EN N13 5--1
BFGI_EN ~ -------1 SSI_DATA
GPI0_200_WL_EN f---"T-=8~ WL_EN (U COE_WANT_PRI/AGPI021 MB

PCIE_CLKREQ_N
O'I
o
- - - - ~G~N~S~S=L=l=L~N~A'=='=E=-_ ___:L=l~07 GNSS_LNA_EN .µ COE - WANT - STATUS/RBIAS/AGPI022 L4

LO
~
FEM_2P4G_CH0_WL_TX_ENclO FEM_2P4G_CHO_WL_TX_EN s:: ,------,(:8)6! TP 51 O3

l------'F=:'E:cM:-:""S:2'=:P:--;4:':G;-:;C~H~l;'-""";W~L~RX~""";;E~N;---:M~3:;-1 FEM_2P 4G_CH1_WL_RX_EN


H MlO GPIO_l99_SH_WAKEUP_GPS
_ _ __,F~E~M~__2....._P~4~G~_~C~H~l~_~W~L~_~T=X=E=N~M=27 FEM_2P4G_CHl_WL_TX_EN GNSS_HUB_CMD/AGPI02 ; :p:4:-:::_-:::_-:::___f-_~_~_~_~_~~I;,3";.c11~~s1c~L~~:::~:~:_-==_:::_-==_-:::_-:::_-:::_-:::__
-
GNSS - HUB- I2C- CLK/I3C_CLK f---
N AGPI013
TP 5 l 36 (¿<¡ GPI0_206_BT_W
HOSTIF - SEL:
GPIO- 203 - WL - W
UP _AB-113
UP_AP T3
BFGI- HOST - WAKE- UP
WL- HOST- WAKE- UP
u
ti)
N4
GNSS_HUB_I2C_DATA/I3C_DATA l-"'-"'--,- - - - _ . . I3Cl_SDA
==--='-=---------

0:PCie PIO- 263 - AP - WAK UP_WlPll AGPIOl4 1--N


~ ll GPI0_225_GPS2SH_RESP
WL_DEV_WAKE_UP/JTAG_SEL H GNSS_HUB_RSP/AGPI09 i-"'
M= ~ - - -G=P~I~0~~2~2~7:=::G=P~S:-=;:W~AK~E~U~P;::=-~S~H-------:--- , TP5102
R5101 1 2
GNSS_HUB_WAKEUP/AGPI012 1----"'=-- 1-----""._..._""-='--'--.-,-..'-"'-~=="--='-------
51
1:SDIO __º_K_ _.~="'s~s_l~~:.:::....-=:N=A""""E=N..__ _ __L_7=- WL_HOSTIF_SEL/GNSS_BLK_EN X M9 TPJi.\0 4smc TCX05101
JTAG_SEL----==- LG IR_PWR_EN/GNSS_BLK_EN/AGPI03 GNSS_PPS_IN/AGPIOO ~.,__ _ _ _ _iP~D=:éG~P~S;"--;:RE;::;F~C~L~K;;-----
LB CLK_REQ_OUT/AGPI02
GNSS_REF_CLK/AGPIOll l-"'-:~~2-------'x==-o-AD~C~S~S~I~--==-----
GNSS_SSI_SYNC/AGPIOlO i----"'==-------===:....==.c=--- - - - - - -
G
O'I
.-1 XIN ~ G~5- - - - -==--------====-=7
XIN C5103 lN R5106 o 3 OUT vcc 4 102 D- PMU- LOO- TC
.-1 XOUT G4
LO C5102 C5101
u
2 GND2 GNDl/NC 1
s:::
::,
-1< o .-1
p.¡ 38.4MEG
O'I
o
.-1

M
R5107 & R5108 Co-pad

R510 R510

FEM_2P4G_CHO_BT_EN KB FEM_2P4G_CHO_BT_EN_RF_TX_ BT_RF_RFO F13 BT- RF - RFO


TEST_IP_CO
FEM- 2P4G- CHO WB- EX_ LNA_ENJB Gl3 L5101 ! 1220NH FM ANT
FEM_2P4G_CHO_WB_EX_LNA_
EN- RF - TX- TEST- IN- CO
05100 FM_RF_RFI O O C

s
FEM_5G_CHO_WL_EX_LNA_EN J9 FEM_SG_CHO_WL_EX_LNA_EN_ HI1103V100MPW2EC N
GNSS_RF- RFI - Ll o
FEM_2P4G_CHO_WB_RX_EN "
K9
RF_TX_TEST_QP_CO
FEM_2P4G_CHO_WB_RX_EN_RF_
qt GNSS - RF- RFI - Ll
GNSS - RF- RFI - LS
Jl3
K13 GNSS_RF_RFI_L5
.-1
LO
t!)
ti)
TX_TEST_QN_CO ~
J7 FEM_SG_CHO_WL_RX_EN_RF_TEST_
o WL- RF- RFI - 2G- CO D13 WL - RX _G_COREO
IP_CO WL- RF - RFO- 2G- CO B13 WL- TX_G_COREO
FEM_5G_CHl_WL_EX_LNA_EN H7 FEM_SG_CHl_WL_EX_LNA_EN_RF_ .--t WL- RF - RFI - SG- CO AlO WL_RX_A_COREO
A12 WL - TX_A_COREO
TEST_IN_CO WL- RF- RFO- SG- CO
FEM- 2P4G- CHl - WL- EX- LNA_ENH6 FEM_2P4G_CH1_WL_EX_LNA_EN_RF_ (U •
gpio form platform(soc or pmu)
J6
TEST_QP_CO o D1 WL RX _G_COREl
FEM- 2P4G- CHl - BT_EN_RF_TEST_
QN_CO
ns WL- RF - RFI - 2G- Cl
WL- RF - RFO- 2G- Cl Bl WL_ TX_G_COREl
~ WL- RF- RFI - SG- Cl A4 WL _RX_A_COREl
FEM- 5G- CHO - WL_ TX EN G6 FEM_SG_CHO_WL_TX_EN_RF_ M WL- RF - RFO- SG- Cl A2 WL- TX_A_COREl
TX- TEST - IP - Cl (U
HS FEM_2P4G_CH2_BT_EN_RF_ .µ
"'
E4
TX- TEST - IN- Cl
FEM_SG_CHl_WL_RX_EN_RF_
H
s:: IR TRX L3

FEM_5G_CHl_WL_TX_EN "F4
TX_TEST_QP_Cl
FEM_SG_CHl_WL_TX_EN_RF_
.--t
" TX_TEST_QN_Cl
u
ti)
FB PDET_2G_CHO/RF_TEST_IP_Cl
PDET_5G_CHO ES H
PDET_SG_CHO/RF_TEST_IN_Cl
FS PDET_2G_CH1/RF_TEST_QP_Cl X
PDET_5G_CH1 ES PDET_SG_CHl/RF_TEST_QN_Cl
Jll GF - RF - veo- TEST
52.Hi1103 P
05201

--~AT_SYS R5202 , o 1 l
-
2
------< 1'
l
VDD_BUCK_B00S T _ _ _,:(¿g TP 52 41

PI0_258_Hi1103 P ON Tll PMU_POWER_ON


4.7u - - - - - - - - 1 - - + - - - - - - - - - =K=l'-, VDD_PMU_VBATl VDD_WL_RF_LNASG_lPO_CO t--B_lO_ _ _VD
_ D~_ P _ MU
_ _ RF _ L_D_O_l _ _ _ _ ~= ;= ~ ~~~_, rci~~~~-"pp~ ----< 1,
4.7u >------, VlO VDD_PMU_VBAT2_1 VDD_WL_RF_LNASG_lPO_Cl B4 VDD_PMU_RFLDOl 1,

~ -V~l~l'-< VDD_PMU_VBAT2_2 VDD_WL_RF_LPF_lPO_CO >-A~ 7~ - , VDD_PMU_RFLDOl C523 >-- lu


_ _------a I,
L5201 ! O O l 2. 2U PMU_BUCK_LX • - -V~1=2_, PMU_BUCK_LXl
05100 VDD_WL_RF_LPF_lPO_Cl
VDD_WL_RF_TX2G_1PO_CO
t--A_G _~
i--=-
C=1 º~ -
T~ _V_l_3----j PMU- BUCK- LX2 HI1103V100MPW2EC VDD_WL_RF_TX2G_1PO_Cl c4 • VDD_PMU_RFLD~-JI
VDD_WL_RF_PLL_lPO_CO e-A=---S_ _ _VD=D...__P~MU=_._.RF.._...L~D~O=--_ _ ___.c.._.5~2=--4_._. lu
~ 9"----<
1 '

_ _ _ _ _ _ _ _ _ _ _ _ ___.VD =-=D:.....::P--=M-=---~
U l~P:....:l.._.5=------ V VDD_PMU_lPlS_O oql
C52 04 t--2_._2_1llD_D~__P_MUf--__ l_P_l_5_ __H_l ----, VDD_PMU_lPlS_l VDD_WL_RF_VCOLO_lPO_CO D9 VDD_WL_RF_VCOLO_lPO_CO_RFI.06209 2. 2U
t----- -
I------j '
::, 6---1 D5 VDD_WL_RF_VCOL0_1PO_Cl_RFI.OS212 e---2~·-2~U_ _, I,
_,-----~ F~ VDD_PMU_1Pl5_2 VDD_WL_RF_VCOLO_lPO_Cl
o
C5203 2. 21llDD_PMU_lPl_._ _ F_7_, VDD_PMU_1P15_3 ~
.-1
o VDD_WL_RF_TXSG_lP?_CO All VDD_PMU_PPALDO_O C521 >--=lu~-----< ,

C523 lu
, > - - - - - -, - - ~-! _, VDD_PMU_1P95_PA VDD_WL_RF _TXSG_lP? _Cl t--
A~ 3 __VD
_ D~__P_MU
_ __P_P_AL
_ D_O___ l_ _ _ _ _ _C_5_2_0_, >----
1 u_ _----< I,
1

""'NN 'I ~CK3_1,v 95 ~ - -----j VDD_PMU_lP95_XLDO M


LO
VDD_WL_RF_PA2 G_ 3 P 3 _CO i--=- ___P_A_L_D_O___Of--_ _ _ _~C~5_2~0_7_, >--l~U_ __, I ,
C=l3~_VD_D~__P_MU
u _ VD
_ D___P_MU
_ __B_T_L_D_O_ _L _2_, VDD_PMU_BTLDO
(U VDD_WL_RF _PA2 G_ 3 p 3 _Cl Cl VDD_PMU_PALDO_l C5231 lU 1
,

1-----'C.._.5.._.2.._.2.._. lu VDD_PMU_CLDOl va VDD_PMU_CLDOl VDD_BT_RF_PPA_lP? Fll VDD_PMU_PPALDO_O C522 0 1u


,I
, 1------=C:.-5c::
=:: 2c::
2~ >--l _u _ VD
_ D~_ P_ MU
f--__ C_L_D_0 _2 _ _ U_9----, VDD_PMU_CLD0 2 o VDD_BT_RF _PA_ 3 P 3 F12 VDD_PMU_BTLDO C5233 lU
1
I,
,

,I
1

C522. lu VDD_PMU_CLD03 UlO VDD_PMU_CLD0 3 rd


~ VDD_FM_RF_LOABB_OP 9 >-=-
Hl=l~_VD_D PMU_RFLD06 C5246 lu
C52211> t--
l _u _ _VD
_ D___P_MU
~ __ L_D _O___ T
_C_X_tQ
----< VDD_PMU_LDO_TCXO ~ Jl_2- - -
VDD_GF_RF_LNA_OP9 ,____
' 1

__VD
__ D__ PMU
___~P_AL_D_O___Cl:._l_, VDD_PMU_PALDO_O (U VDD_BT_RF_ABBLO_OP9 t--E_l l_ _ f--
C5236 lu* C5247 47N
__VD
__ D_ ~PMU
_ _ ~P _A_L D_O__~hc=2- VDD_PMU_PALDO_l .µ 1-------1 I, C5234 56P
__VD
_ D_ _ PMU
_ _ ~P _P _A_L_D_O_~__,
__VD~=º--=PMU=--=P=P=A=L=D--=O_ÉIL
=-,
VDD_PMU_PPALDO_O
VDD_PMU_PPALDO_l e: VDD_GNSS_RF_GLOABB_OP 9 t--
VDD_GF_RF_PLL_OP9 i--=-
K1_2_ _
G=1º~-~
VD_ D,PMU_RFLD03 C52 0' 1u

H VDD _B T_RF_VCO _ op 9 i---=


E"'-'
1 º=-------=V=-=
D D:.....::B:..:T:.....::RF
-=-......:V:....:C.._.O::....cO
:..:P:....:9::....::R
=:....:
F L=D 1 u_ _---< I ,
=--0=--4-=-------=C.._.5.._.2.._.3::..:r >----
C5222 t--l_O_O_n_VD_D___P_MU~__RE_F_B_P_ _J_3----, PMU_REFBP
' 1

C5215 t--4~._7_u_ VD
_ D___P_MU
~ __RF_ L_D
_O_ l~ G=l _, VDD_PMU_RFLDOl VDDIO i--=-
T=1 º~ - -
' 1

C5219 t--2_._2_u_ VD
_ D___P_MU
~ __ S_Y
_S_L
_D_~_ 1_3----, VDD_PMU_SYSLDO VDDIO PMU >-U_ l_ l_ _ __. VOUT18 1V8 C52 O r-
1 l_ u_ _----;I I ,
' 1

, C5218 lu VDD_PMU_PCIE_lPO~s VDD_PMU_CLDOO


P-1 VDDIO_FEM Ml e52 oEli lf-l_u___,I ,

,I
1

1--__.C.._.5=2=1'----1' lu VDD_PCIE_VP U2 VDD_PCIE_VP


1

, 1------=c=5=2=1---r lu VDD_PCIE_VPH U3 VDD_PCIE_VPH VDD_CLDOl_l e-R=6..___-Yf_._._PMU_CLDOl


'
1

1
C522
f - -- -------j
lu VDD_PCIE_VPTXO R2 VDD_PCIE_VPTXO VDD_CLD01_2 t-- T-7 - ~
VDD_CLD0 2 >----=-'
R=lO~~VD~D~_~P=MU~_~C=--=L=D~0=--=2~--
K4 AVDD_IR

POWER CURRENT VOLTAGE


VDD PMU 1P15 lA 1Vl-1V5(1V15)
1 r -------< >-------º--=6---1 VSS_ANA_GRl VSS_PMU_PGND_l >-º- 1- 2- -
VDD PMU 1P95 600mA 1V95
>--_ __..Dc_o
?---¡ VSS_ANA_GR2
>--_ _..D=S---¡ VSS_ANA_GR3 VSS_PMU_PGND_2 >-U_ l_3_ _
SG5210 ti)
O**
(!) GND VDD PMU BTLDO 200mA 2V-3V5 (3V3)
VSS_CLDO_lO >-p=2=------
>----'J=l'-"
0---1 VSS_ADDA_l VSS_CLDO_ll >-P~ 1=3 f---
VDD PMU CLDOl 400mA OV6-1VO (OV9)
>-----=8=1=º---1 VSS_ADDA_2
VSS_ADDA_S >-G= 8~ -
VDD PMU CLD02 150mA OV6-1VO (OV9)
>----'G=1= 2---1 VSS_BFG_RF_l
2~ VSS_BFG_RF_2
VSS_ADDA_6 >-G_ ?_ _
>-G=9~ -
VDD PMU CLD03 50mA OV6-1 VO (OV9)
>---_.H._.,lc'"
05100 VSS_ADDA_7
_ l_3_ _
>---_..E=9---¡ VSS_BFG_RF_PLLl HI1103V100MPW2EC
VSS_WL_RF_CO_l
VSS_WL_RF_C0_2
>-A
>-B_ l_l_ _ VDD PMU LOO TCX lOOmA 1V8/2V8
>-------F_.,_9~ VSS_BFG_RF_PLL2 >-8=1=2~ -
f - -_ _ F~l~O----j VSS_BFG_RF_PLL3
VSS_WL_RF_C0_3
VSS_WL_RF_C0_4 >-C~ 1=1~ -
VDD PMU PALDO 400mA 2V-3V5 (3V3)
>-C-1- 2- -
VSS_WL_RF_C0_5
VSS_WL_RF_C0_6 >-º~ 1=1~ -
VDD PMU PPALDO 250mA 1V7
_ _ _D
_l_O----j VSS_BT_RF_VCO >-º- 1- 2- -
VSS_WL_RF_C0_7
VSS_WL_RF_CO_S >-E=1=3~ -
VDD PMU SYSLDO 30mA OV6-1VO (OV9)
= 1~ -
>--_ _.,N=G~
>---_.,N=S---¡
VSS_CLDO_l
VSS_CLD0_2
VSS_WL_RF_Cl_l
VSS_WL_RF_Cl_2
>-A
>-8- 2- -
VDD PMU RFLDOl 250mA OV85-1Vtl VO)
>-8=3~ -
>-------P_.,G~
>--_ __-_P_c_ ?~
VSS CLDO 3
VSS=CLD0=4 (U
VSS_WL_RF_C1_3
VSS_WL_RF_C1_4 >-C- 2- -
VDD PMU RFLD02 lOOmA lVO
>-C=3~ -
>---_.,N._._?~
>--_ _.,R._._ ?~
VSS_CLDO_S
VSS_CLD0_6 o VSS_WL_RF_Cl_S
VSS_WL_RF_C1_6 >-º=2~ -
VDD PMU RFLD03 lOOmA OV9
rd >-º- 3- -
>-------R=S---¡
>-----""Tc'" 2~
VSS_CLD0_7
VSS CLDO 8 ~
VSS_WL_RF_Cl_7
VSS_WL_RF_Cl_S >-E=1~ -
VDD PMU RFLD04 50mA OV9
P8 - -
J..I _ 3_ _
>-------=---¡
>---_..G._., 3~
VSS CLDO 9
VSS_CMU_l (U
VSS_WL_RF_C1_9 >-E
VDD PMU RFLD06 150mA OV9
>---__..8--= 4~ VSS_CMU_2 .µ VSS_WL_RF_LPFPLLl >-C~ 7- -
>---_.,8=8---1
>---__..H._-_9~
VSS_ADDA_3
VSS_ADDA_4 e: VSS_WL_RF_LPFPLL2
VSS_WL_RF_LPFPLL3
>-B_G __
>-8~ 7~ -
>----'G=1=1---1 VSS_BFG_RF_3 H VSS_WL_RF_LPFPLL4 >-8- 8- -
3~
>---_.L._.,l,_.-_ VSS_BFG_RF_4 VSS_WL_RF_LPFPLLS >-C=6~ -
>--_ _.H==l=3~ VSS_BFG_RF_S VSS_WL_RF_LPFPLL6 >-C~ 8~ -
>-------F= 3---¡ VSS_CMU_3
>---__..E=G~ VSS_GLOBAL_ANAl VSS_WL_RF_PLL_GR_CO_l = 9~
>-A -
>--_ _..E._._ ?-¡ VSS_GLOBAL_ANA2 VSS_WL_RF_PLL_GR_C0_2 >-8- 9- - - ------< 1'
VSS_WL_RF_PLL_GR_Cl_l >-A=5~ -
>-----=K=1=1 ~ VSS_BFG_RF_6 VSS_WL_RF_PLL_GR_C1_2 >-B
_Sf --_~
>-----=L=1=1---¡ VSS_BFG_RF_7
VSS_WL_RF_VCOLO_CO i-=C9=----_..S=G~N=5=2=--=0=2._..S=G=5=2--=0=2"-------"oo~
>-----=L==1=
2---1 VSS_BFG_RF_S VSS_WL_RF_VCOLO_Cl >-C=S=----_..S=G=N=5=2=--=0=1._.. S=G=5=2=0=1'--------"oo~
3~ VSS_IR
>---_.,K._.,
VSS CLDO 12 >-M
_G_ _ _
- - P12
>----'T=1= 2---¡ VSS_PMU_AGNDO VSS_CLD0_13 ~~-
3~
>------=T=l,_.,_ VSS_PMU_AGNDl VSS_CLDO_l4 >-R=1=2~ -
>---__..8= 2---1 VSS_PMU_AGND2
>---__..H._., 3~ VSS_PMU_AGND3 VSS_WL_RF_C0_9 >-E=1=2~ -
>----"'J"'- 4~ VSS_PMU_GR =2~ -
VSS_WL_RF_C1_10 >-E
'1 f------
54. LANRFO&LTE 03&5G 3n

05401 Control Logic


4.7 100 Z5406 05401 Logic Table
WIFI_ANT_A_COREO =t''--------< f - - --
1
---=i IN
4-
GND2r- - -< 1'
Mode CTRLl CTRL2 2 3 C5410 33p WIFI_SG_~N
C5405 R5404 C5424 ~m
- -u st
~ b~e_ c_a_p~acit~ f--- --,,GND1 OOTi-- - -
TX High Power High Low 13030061
05401 47150726
RX Gain Low High PDET_SG_CHO 401 33N HO_FEM_PIN1010 VDET ANT 1 L5401
D_BOCK_B00S T 9
VCC3 veo 2 CHO_FEM_PIN
VDD_BOCK_B00S T 8
VCC2 VCl 3 CHO_FEM_PIN
RX Bypass Low Low (X)
o
(71
o 7
GNDl VCCl 4 CHO_FEM_PIN
5 ~_ _ _3_3~p-----if5431 WL_RX_A_CORE<¡.
' 1
'<I' '<I' 6
Satndby Low Low IO IO TX RX
u u
11 GND2
' 1
L5408
::, s::
.-i o
C5406 1 33P* L5422 1
33 * N
N

bypass use -- -- R5405


68n F _SG_CHO_WL_TX_EN CHO_FEM_PIN
_SG_CHO_WL_EX_LNA_E CHO_FEM_PIN
Z5404 Co- ad

l - - ---=GND1
33 '--------'
OOT1...------- -------== D_BOCK_B00S T CHO_FEM_PIN
3
, 1

2 O CHO_
- ----=-' IN GND2r--- - -< 1,
r-
1 4 L5407 (X)
(Y')
O\
(Y') N
.,L_TX_A_COREO ln C540 13030061 '<I' '<I' '<I'
IO IO IO

WiFi 2.4G+SG CoreO+GPS Ll ANT4


L54 7 ll, ll, ll,
6.2n* (X)

(X)

(X)

ID ID ID
Z5403 13030165
~ G~
P=S- L
=l= RF
~=I=Nf--_ __ ~B4 LOWER_FREQ . PORT
WIFI_2.4G_COREO_PRX 6 MIDDLE_FREQ . PORT J5401
14240928
GNDl 1-1~ - -

_ W_IF
_ I___S_G _ I_N_ _ _ _ _4_, HIGHER_FREQ. PORT
~ MA GND2 1-3------ ~
U5402 Control Lo l..C
GND3 1-5------ ~
- - ~2- COMMON_PORT GND4 1-7' - - - ~
Mode CBT CTX LEN CRX I N .-i 1

L c.,, - u ..:_ c., J


ALL OFF o o o o _,. .-i N

WLAN rece1.ve LNA



o o 1 1 ' 1 f----, >----+-+-__J

WLAN rece1.ve bv .,ass o o o 1 L5402 n

Bluetooth 1 o o o
WLAN transmit o 1 o o
WiFi 2.4G+SG CoreO+GPS Ll ANT4
o
.,L_TX_G_COREO es 4 3 7 1 e----=l=nf--_ C5442 10 ~
o
u (Y')
c.,I o
s:: ><I
'<I'
IO .-i IO
N • E-a - - ~u-, i--- - -___, 1 ,

'<I' IO 1
IO H
,.:¡ r.,
-
- H
~ FEM_2P
.¡e
fl,
C5402 30N IO
• .-i l'1 N .-i
o o
'<I'
IO .-i >( >(
u o E-1 E-1
1=3f--------0
(3 > GND 4 1- 1 '

,¡ L5410 Sn Z5407 13010676


- 4 GND 2 05402
1 ANT 1-1=2..___ _ _ _ _----= W=I :=::
F=I~AN
="-:-T
-=:--G
-::=-C
::-O
=-:R':=--0
E =-=-=--==-=----C
=S
~ 4=2=3~ L'6.,_.0.,_.0._..P'------__, f------------__.1'-------1 INPUT OUTPUT ~4_ _ _ _ _ _ _ _ ___, c--------"C:c.::5'--'4._..2._..8'_\ lN
.,L_RX_G_COREO C5412 8.2 ----__.W-_-I._..Fc""I.__-_RX--_-_._..G._..C==º- - - -5---1 RX VRX 1-1,.__.1.___ _ _ _____, EM_2P 4 G_CHO _WB_ EN
EM_2P4G_CHO_WB_EX_LNA_EN 6 LNA_Ej7090129 VBT r-1_0_ _ _ EM_2P4G_CHO_BT_ GND - - 2--, GROUNDl
3 GROUND2
f - - ~ =---------j
5- - -----,
GROUND3 i=- 1'
L5433
C5420 C5417 L5434

r-

D_BOCK_BOOST ~-~ -===- ---===- 10n


C5433 lOP
' 1 1

■>---B_T___RF
~ __RF
_ O_ _C_5_4_3_4----,I f--l_n _ _

L5414 zN
long line.-i

68n
.-i
N
'<I'
IO
u
55. LAN RFl & 5G Corel U5501 Control Lo •
J..C

Mode CBT CTX LEN CRX


ALL OFF o o o o
long line
WLAN rece1ve LNA •
o o 1 1
.,L_TX_G_COREl

WLAN rece1ve bv .... ass o o o 1
Bluetooth 1 o o o
r-
L5501 o
lf)
WLAN transmit o 1 o o
~=-=-_ _ _ _ _ __.F~E~M-_:_:2~P~4~G--:= 1 W TX EN

fl,
15n* M
M
WIFI TX_G_COREl C5514

lOp
....

GND 4 13
t - -- - - - - - j -
WiFi --Corel
·I L5503 5n Z5503 13010676 -

1 t:-::--:=-=:-::--
4----¡ GND2 05501 12 ANT WIFI ANT_G_COREl 33 C5515 1 INPUT OUTPUT 4
.,L_RX_G_COREl C5506 8.2 WIFI_RX_G_ OREl 5 RX VRX ~11.,___ _ _~F_--EM._.,_. 4G_CH1_WL_ EN J5501
LNA_E~7090129 VBT >---1=º~ - ------a I· 2 6.2n* 14240928
~ -- ~6'-< GROUNDl -
3 GROUND2 GROUND3 5
C5522 L5507
EM_2P4G_CHl_WL_EX_LNA_EN

r- "' lOp
C5513
Z5502 13030162 .... (',I M

D_BOCK_B00S T 6.8n C55 9 rnust be e pacity Z5504 C5508 33


f---.-=:..::..,::..___ ~ ---1 LO-BAND GND2 >---=-- - ----i
lOp 4 3 1 •

4.7 1 4- L5 5 2 -:,r v - - ~ " n WiFi_Corel_LAA


,=1:=-- - - ------=
c 519 - - - + - - - ---=a IN GND2>= - -'-< 1' • 1 >--- -
5
,----, GND 3 COMMON >--=--
2- - - - -- _ _ i
C5510
2 3- L5515
=-=-- - 1GNDl OOTi-- - - - - - - - - < > - - - - - - - -~ ---1 HI-BAND GNDl >--- - ----" 1'
6 1
>--------~
lOp z
00
13030061
.-1 • C5512
o
lf)

~ 0.5p*
100 1.8n*
4.7
r-
.-1
lf)
R5505 lf)
C5511 05502 47150726 u
PDET_5G_CH1 502 33N _PIN1010 VDET ANT 1
VDD_BOCK_BO T 9
VCC3 veo 2 CHl_FEM_PIN
VDD_BOCK_B00S T 8
VCC2 VCl 3 CHl - FEM- PIN
7 CHl_FEM_PIN long line
GNDl VCCl 4 WIFI - RX- A- COREl
' 1

6
TX RX
5 ln C5502 WL_RX_A_CORE
C5523 C5521
11 GND2
' 1
L5504
lu 220n L5505
00
R5506 o CHl_FE
.-1 R5507 O* CH1_FEM_PIN4
lf)
lf)
R5508 º* CHl FEM_PIN2
.5N* u R5509 o CHl FE
6.8n* D_BOCK_B00S T R5510 O* CHl FEM_PIN3
long line R5511 o CHl_FE
6.8
- '<I' M ID O\
- o o M .-1 o
iif_TX_A_COREl 33p C5524 ln C5504 WIFI TX- A- COREl lf) lf) o lf) lf)
lf) lf) lf) lf) lf)
-
- p: p: lf) -vi--
10100793 u
L5502 00
00

00

• ID ID
ID

r-

2.7n

05401 Logic Table

Mode CTRLl CTRL2

TX High Power High Low

RX Gain Low High

RX Bypass Low Low

Satndby Low Low


56.GNSS RF FE
VDD - PMU- LOO- TCX

C5619
GNSS Ll z
o
o
.-1
GPS Ll 4
L5614 place near to U5100!
Z5601 13010463 U5602 47090071
NSS_RF_RFI_Ll L5614 .Sn 4
UNB_PORT2 UNB_PORTl 1 C5618 33 RF_OUT GND_RF
Z5604 13010463
3 4
vcc RF_IN L5602 '---'7.5N
---=----=-=-------------- ~4 UNB_PORT2 UNB_PORTl 1 -=
1 - - -~L~5~6
~0~7.:._____: n
2 2 5 GNSS l_LNA_E
GNDl 1 GND ENABLE 6
L5613 GND 1 2~
C------C -
S GND3 GND2 3 P..
M
M 5 GND3
~ -=i
3
GND2 1-= -

LO
lN* o
\O
LO
u

, 1 - ~2,,_._.7_c.pic__*----j f-_.,C._..5._.6._.0._.9.___ _ _ _ _ _ _ __

GPS LS
f---1

D_PMU_LOO_TC:lQlJi604 47N
GNSS LS C5602 LO
u
22n*
ln C5608 33P GNSS_L5_ANT

long line
L5609 Z5602 13010725
Z5603 13010725 U5601 47090071 1 UNBALANCE_PORTl 2
GNDl
10n GNSS_RF_RFI_L5 1 3
~~~
5 GND3 UNBALANCE_PORT2 ~ -
4
-- ~- ----=!
L~5 ~6~1~5__1 GND ENABLE 6 GND2
f------~c--i GND 2
2
vcc RF_IN 5
L5605 10n 4
UNBALANCE_PORT2 GND3
5
3 .¡e
, _ _ _ _C
=-:5=--:6=-:0=-:i 1 . 5n L5608 7.5n 3
>--_::c--i GND 1 UNBALANC E_PORTl 'f RF_OUT GND_RF 4
2 LO

r-
C5601 L5606

1.2p

.-1
o 3.3n*
\O
LO
,.:¡
57.NFC

I2C address=Ox28
TP57 02 ~
""
TP5706 '~
TP5701 ® 05701 PN553

MUO - NFC - ON Hl
Al
VEN
WKUP_REQ
VDDO_SE/Ie
VDDI_ESE/Ne
BS
es
-,
SVDD_ 1V8 C5701
1
220N
1 1

GPI0_255_NFC_DWL_REQ D3 DWL_REQ
IO- 219- NFC - INT E3 IRQ ESE_SPI_eS/Ne ES NFC_ SPI - es-

I2C4_SDA
' 1
-, e3
Dl
SAO/NSS
SAl/MOSI
ESE_SPI_eLK/Ne
ESE_SPI_MOSI/Ne
DS
FS
NFC_SPI_CLK
NFC_SPI_MOS
El SDA/MISO ESE_SPI_MISO/Ne e4 NFC - SPI- MIS
I2C4_ SCL E2 G4
SeL/SeK ESE - SPI - IRQ/Ne
NFC- TX- PWR- REQ FS TX_PWR_REQ
ESE - ISO- RST/Ne F6
NFC - CLK- REQ Bl eLK_REQ ESE - ISO- eLK/Ne E6 NFC- GPIO-
NFC- CLK- 38M4 es NFe_eLK_XTALl ESE_ISO_IO/Ne F4
OS XTAL2 ESE_GPIOl/Ne BS

VOUTll_USIMO_lV8/2V95 A4 VDD - SIM- PMU- 1 Iel/SWIO_DG B7 NFC_ SWIO

SIMO_VCC AS VDD_SIM_l NFe_GPI0_2 F3


C5719 ln B3 SIM_IO_PULLDOWN_l NFe_GPI0_3 F2
NFC- SWIO- UIMO A3 SIM_SWIO_l NFe_GPI0_4 H2
NFe_GPIO_S G2
R5704 A7
VDD - SIM- PMU- 2 NFe_GPI0_6 Fl
560 VDD_GPIO Gl
L5702 160n AS VDD_SIM_2
NFC TXl CON B6 SIM_IO_PULLDOWN_2 VDD_PAD D2 VOUT18_ 1V8
A6 SIM_SWI0_2 VBAT ES VBAT_ SYS
-- e2 NFe_GPIO_O VDD_UP H3 VBST_sv
p. GPI0_264_NFC- 1
00 B2 NFe_GPIO_l VDD_TX G7
C5714 1.0 1.0 NFC_RXP A2 D7
.-1 NFe_GPI0_7 VDDA
560pF ~-~-:;; NFC_RXN VDDD e7
u F7 ANTl VDD_MID H4
NFC_TXl NFC_RXN H6 VDD_HF D6
RXN
NFC_TX2 NFC - TXl GS TXl VSSAl G3
NFC_ TX2 H7 TX2 VSSA2 GS :::, :::, ::, ::,
NFC_RXP HS G6 i::
o
:::,
N
:::,
N
zo N N r- i::
o r-
p. RXP VSSA3 • • ::, • •
E7 e6 o • • r- o
C5715 ~ r- ANT2 VSSD
el
.-1 N N
""
N N .-1
"" .-1 ""
.-1 VSS_PAD
560 p,------,---,---:;; D4 Iel/Ne VSS_ESE/Ne B4 ,, N o N
M
M
N o .-1 r- o O'I
u NFC_GPI0_2 E4 Ie2/Ne VSS_TX HS r-
U'l
r-
U'l
r-
U'l
r-
U'l
N
M
r-
o
r-
r-
U'l
o
r-
r-
U'l ""r-
o
u u u u U'l U'l u U'l
u U'l
u u u u
NFC TX2 CON

R5703 - -
560
C5718 ln

• •

J5818 J5820
GPS_Ll+WiFi_2.4G/5G_CoreO ANT4 14241220
COMl-F-BJ
14241168
COMl-F-BD
1 p 1 l:-=-----
1 ------=----1
1 1
1 p 1

J5807 Ll/CoreO
14241220
COMl-F-BJ
~1~_~C~5~8~0~8_,I __.._1_.._5_.._.0,,.__------j 5803
Pl 1
1
1.8p C5809 1 1.5 L5801 ,,____W=iF..._....i~2 ~G_CoreO/Ll T4
J5810
14241220
COMl-F-BJ
0.4p
1 l--=--1- --- - -11
Pl 1'

L5802 C5805

3p (V)
o
00
33n LO
C5806 ,.::i

J5814 C5811 0.4P


14241220
WiFi Corel LAA ANT3 COMl-F-BJ
J5806 Pl 1 C5812 L5808
14241220 1 '

GND
COMl-F-BJ
Pl 1 C5802 4.7 WiFi_Corel_LAA T3 5807 5.6n

N

HSL0201D
.¡e
zN
.-1
00 L5806 WIFI Corel
o
00 (V)
LO \O
~ o
i:: o
LO o
.-1 .-1
LO o
o .-1
00
LO
,.::i

'<I'
o
00
LO
,.::i

avoid colliding device


Gl-G6
J5819 J5805 J5808 J5809
14241220 14241220 14241168 14241220
COMl-F-BJ COMl-F-BJ COMl-F-BD COMl-F-BJ
Pl
1 GND Pl 1 Pl
1 Pl 1
o
.-1 ll<
00 o
LO N
u .-1

GND oll< r--


0
J5812 N
.-1
00
LO
14241220 GND U
COMl-F-BJ --==-
p 1 f----"--
1- -~

'<I'
o
00
LO
u
s µ w.,
ll<
o
J5801 J5802 N
.-1
J5804 J5811 J5803
DEVICE DEVICE DEVICE DEVICE DEVICE
1 Pl 1 Pl 1 Pl 1 Pl 1 Pl
2 P2 2 P2 2 P2 2 P2 2 P2
3 P3 3 P3 3 P3 3 P3 3 P3
r-- 00 \O LO '<I'
.-1 .-1 .-1 .-1 .-1
51628444 51628444 51628444 00 00 00 00 00 51628444 51628444
LO LO LO LO LO
u u u u u --
-
-
• GPS L5
• • -le

N

L5917 10n
o 10100500 47140169 U5903
J5911 L5915 0.6n S T_RFl/2 1 RFl CTLl 5 GPI0_043_RF08_S 4T
DEVICE 2 RF2 CTL2 6 GPI0_044_RF09_S 4T
r- 1 C5909 1.8 L5914 3.9P SP4T_RF3 10
-
- -
- .-1 Pl RF3
O\ 2 9 7
IO P2 RF4 EN
CJ
P3 3
.-1 (Y')

DIV LB/MHB CCE .-1


00 51628444 -le
z
.-1
11 RFC GNDl
GND2
3
8
N
O\
IO
o
O\
IO
L5929 00
o
-le L5920 4 VDD
o .-1
.-1 (Y') (Y')
o (Y') (Y')
.-1
IO VOUT14_2V85
C5908 1.5
3.3n
.-1
O\
IO
82n* MHB DIV ANTl (Y')
10100878 CJ .-1 C5902
O\
5928 22n IO
,.:¡
33p

N
00
L5923 0.3P* U5902 47140189
r-
U5904 USID=6
o 47140256 SP4T_RF1/2 _ _ A_l _, RFl VDD r-D
_ l_ _ _ VOUT14_2V85
J5903 ~ 5926 33n 1 RFl VIO r 4- ----------< O VOUT28 lV Bl
~ ------1 RF2
14241220 CJ L5921 >--""l =-_ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ __..lc--0---1 RF 2 _S_P_4_T~_~RF~3_ _ _ _~A=S- RF 3 CTLl º 24 GPI0_043_RF08_S 4T
COMl-F-BJ ~ - - - - - - - - - - - - - - - - -- 9 RF3
------< 3-
VDD i---=-- ---~ VOUT14_2V BS RF4 CTL2 D GPI0_044_RF09_S 4T
Pl 1 MHB/LB_F ed LB5901
L5931 O. 3P* 2_MIP CLK 2 1 6 2 D5
SCLK GNDl EN
2_MIP DATA 2 1 5 SDATA GND2 8 C5905 C5904 A3 RFC
' 1

GNDl Cl
J5906 5922 22 LB5902 N o 7 es
.-1 .-1 IDO GND2
14241220 O\ O\ 33p 33p
IO IO
COMl-F-BJ CJ CJ
P 1 r=
l_ _ _------, L5924 47n -
-
-
- GND
--
-le -le
10100899
.-1

N
-
-
U5903 & U5902 pad overlapping
00

(Y')
.-1 L593 33n
GNSS_L5_ANT
O\
IO
CJ LB DIV ANT2 L5935 lln

C5914 1
DIV_LB_AN
--------< e---=
\O
C=5 =--
9= 1 =--
9----, f-_l_._8-p_ _C_5_9_1_8----jl f-----"l'--'.=8=----- - - L5911 12n
L5936 GPS L5 ANT
o 10100893
O\
IO 00
L5933
,.:¡ L5907 00
(Y') O\
00 L5904 o •
(Y')
O\
o o \O
o o 00
.-1 U5901 24n* .-1 00
o o o
.-1 .-1
DC_BIAS c..-- --------, >--------< DRX_OU .-1 o

o .-1 .-1
1 o O\
IO
6.8n 82n* .-15 .1 CJ
>--,B
-'1,--------, RF 1
C5920
-
-
-- 47150541 --
33p C5916
-
- U5905
--
DC_BIAS 1 0.2p*
L5910 1.5 RFl RF2
--
' 1 1 Bl 2

47150556

J5901
14241454
COMl-F-CD L5905 82n
Pl 1 C5923 33p
1 1 avoid colliding device
L5903 82n
CAM DECO

J5905 J5904
14241220 14241220
COMl-F-BJ COMl-F-BJ
L5901 ~ - 68n 1 Pl
NFC FPC Pl 1 ---~
NFC Fra1t1e
(Y')
(Y')

.-1
o
O\
IO
CJ

J6001
14241220
USID=7 NFC COMl-F-BJ
~ - - -- ~1=----, p 1

ON
C6004 lON
D6001
DA
2 1 C6005 lON
C6007 82p
f----~

C6008 f-----8----<2 ...,__


P ____, 1,
lu
06001 C6006 C6009
39070267 82p 56p ~ -
C6002 A3 A2 C6010 220N
VHV VREG 1

1 1

T_SYS R60 3.3 L600 Su B3 L_BOOST OUTA Cl DRX_OO


OUTB Bl DRX 00
OUTC Al DRX_OO
VBAT_SYS C3 VDDA
CLK D3 FE2_MIPI_CL FC_TXl_CON
VOOT18_1V8 D1 VIO DATA D2 FE2_MIPI_DA N M

C2 GND ATEST B2
lu C6003 ' 1 1 '
06002
47990097
DXW21BN2511NL04
C6001 100n • •
...
FC- TX2 - CON
■ ■ ■ ■

An1Jiient&Proximity AVAGO

U6102
APDS-9253-002
1 GND SCL 6 111 100n I2CO_SCL 61

GPI 197_AMB_INT_N_61 100n L6110 2


INT SDA 5 L6113 100n I2CO_SDA 61
D_A
T34_3V3_61 1 2 2 1 1 2 3
LDR VDD 4 1 2 VOUT34_3V 61

LB6101 D6101 LB6102 LB6103


,-
o
,-
CD
ü

GND_PAD_61

PJ\i>

T 106GPIO 197 AMB INT N_61


- - -
I2CO_SCL_6ll'P6
1 4
I2CO_SDA_6ll'P6 4
2 5
T 102VOUT34_3V3_6 1 GND_PAD_61 TP6
3 6
J5904 so6sr LOBsr

o J5812

C5901

J5819

111.3 .a J2906
"'
~"'·
J

9r@
's3
-.á.J"L ....,
()
1~ J2907
806Zr

1¡ ·
~ ~ [~] - ~
•• =
"
• él • ·" " '
L"--",___...~ - - - · ~~
04

o 7~
7~ 14 00000000000000
00000000000000
p o 00000000000000
=
ísl
ro 000 000
"'
7
000 000000 000
Jll8005
SG l 2JO 000 O O 000
808Sr 0 0 0 0 Vl 400 0 0 0 0
o 000 O O 000
o SGIO OQ O O O O 000
SG I0 06 000 OQ0Q1Q 0<;)0 000
0000 000 e • J2911
016Zr • O
SGl0 07 00000000000000
O O Q iGoO O O O O O O O O O
00000000000000
SGI004

- -02

P160
(
1
e • J2915
;o

1 c.n
1
"'

P5 10
1
c.n c.n
L o, ..... J
1 1

1
1 1 1 1

\ \ / \
/
o

88888888 1
88833888
88800888
00000000
00000000 J3016

504

1111 - - -e Dl ~TI Ml OOO

09~0 SOl Wíl


(l j 606
M)(D01
e 103 C1 702
LJ
■■■
iL > .... ,
****** PROPRIETARY INFORMATION OF FIH ******
lf~ l t UlJ

8
1ll
_,
IC2302 L
/ 80
r
$
"' 8l6Sl

6l6Sl

1

1

(/

■ ■ /

6 ■ ■
■ ■
!:2.]-IJ:l @@ ■ ■ ~
[gJ ■ ■ ■

■ -
=




U5401

PROXY l:0~
20·5


-
"'e ■

■ ■
~ ■ ■

1 1




111111111111
■ ■

N

-■
e
<&
;;;;,
■ ■ A

~~
i
1 "'o--, 1 1 -"' --"'" --'""
() ()

©ti ~
k-,.
"' M

DV ELLE 000000000000000000000
0000000000000000 00000 C1 0 íl 2
e 21

000000000 00000 000000 lOSsn

1

1

" 00000 0000000 00000000 C1 0~0
00000000000 0000000000
0000000000000000 O 000
1llil311IJJM
■ ■ 10 11€ ] 0000000000000000000000
■ ■ 00000000000000 0000000
()



■ r
l ll
ºººººººººº®ºººº
OOOOOOOOOOC'OOOOOO 00000
0000
80!!8:J C3626 g
"'o"'
ru,
o,

-"'"'"'-
- ~
,__,
o s;
■ ■ "'o
= 0000000 00 000000 0000
·-·
•"'- ■ .,
u ■ "'- 9 11:J
000000000000000000 Oº ~ ~ =
r ~

o
()
L J),.,)_
-



..
o
"'



0000 00 00000 O 000000
00 00 0000000000000000 ~ ~
00 00 000 O 0000 C1 0 9
.o
<?-
■ ■
•'"
•º--
■ ■ ººººº
00000 ~ 0000000000
000000000 1 1111 ■
. la¡
o






OO©tDO
o e J:¡] 60 1 :i
--
o l0 8l:J
. . _, .i-.. .._ _, _.. ..._...,
o
-
= -
= '
"'o e1 1

e 11 1
e e
C1 2 2 T

J 6~:
I 98. -- -
1 36I
e l 7
.

e 27
- ■
e 24

J3805
'

C1 U13 --
11111111 □
v~9s,~
::c
r-
N
11 1
1

1
■ e 5
1 ■ ■ 000
;:g 1 ■ ■
"'T'1 1 1 1 ■



0@10
ooo 4--------
11111111111111111_
~ ■ ■
a$E~ • •

:e ■

= ■
■ 3
o ()
w -- --
·•<
1 1 1


"'oa
"' ■

E,,:9 ~ ~ ~~ ll,.......H "'
- - -
- r±O:::c 8 '.l
l $--:::ci
r
O 1
;:g ■ ■
■ ■ 35'l! - a~
-- --
IOS8n -
• ■ ■ 0:$8~
.350:
e:, ■ ■
. - -- ~=
-- ,-,
■ ■
~

■ ■
""= 90886

1 1 000 o i~§f;~
:3Sil -- - ~ R~
o@e 3S:o - ._____________ - f-""""'""J

C1 305 C1 304 º®º


ºº~ ~
Q$¡;J
11111111111111111
Q 1
,-.

l061r
@@1
18
,i-
¡)¡
@0 WHITE
SG2404
11111111111111111111 11 111111111111111111111111111111
30lrb
J6001
-L__J
klll/01 1 J1500 1
11111 -__J 1 1 111111111111111111111111111111
J30 13
()
¡:,¡ 11111
1
-----------+----
[:] i o @@11 ~li ~ ~ ~ ~~~I "' "' "' (!) (!)
,, ., "' "'
~ ¡N ,N ., ,N ,
' .

t ,,
~ ~ - .$ ~
****** PROPRIETARY INFORMA1 70N OF FIH ****~ ~

You might also like