You are on page 1of 6

Impact of Noise on the Resilience and the Security

of Quantum Computing
(Invited Paper)
2021 22nd International Symposium on Quality Electronic Design (ISQED) | 978-1-7281-7641-3/20/$31.00 ©2021 IEEE | DOI: 10.1109/ISQED51717.2021.9424258

Abdullah Ash Saki Mahabubul Alam Swaroop Ghosh


Electrical Engineering Electrical Engineering Electrical Engineering
Pennsylvania State University Pennsylvania State University Pennsylvania State University
University Park, PA, USA University Park, PA, USA University Park, PA, USA
axs1251@psu.edu mxa890@psu.edu szg212@psu.edu

Abstract—Qubits, which are building blocks of quantum namely, quantum approximate optimization algorithm (QAOA)
computers, are fragile and prone to noise and error. Some under noise, and proposed compilation techniques to achieve
prominent errors include decoherence/dephasing, gate error, better resilience. Ref [13] investigated the resiliency of various
readout error, leakage, and crosstalk. The computation quality
degrades in the presence of errors. Therefore, even though quantum factoring algorithms. In [14], authors utilized a hy-
quantum computing can theoretically speed-up certain classes brid quantum-classical approach to counteract noise in NISQ
of problems, the present-day Noisy Intermediate-Scale Quantum based true random number generator. These are few works to
(NISQ) computers can only solve small-scale ones. In this work, achieve noise resiliency through parameter optimization.
we present an overview of various noise sources and their impact The research community is exploring other software tech-
on the resilience and the security of quantum circuits. Our
analysis indicates that noise sources (e.g., crosstalk) create a new niques such as mapping to squeeze performance out of these
attack surface (e.g., fault injection), especially for future large- NISQ devices for useful applications. Mapping of quantum
scale quantum computers that may employ a multi-programming circuits, i.e., allocating logical qubits to physical hardware
compute model. We also propose countermeasures against the qubits, is necessary to address the connectivity issues of the
reliability and security issues. NISQ devices. The existing mapping techniques (e.g., [15])
Index Terms—Quantum computing, resilience, security
formulate the problem to minimize the additional SWAP gate-
count to resolve the connectivity issue. Besides gate-count,
I. I NTRODUCTION
reducing the circuit depth is necessary to address the short
Quantum computers have generated excitement in a diverse qubit lifetime or decoherence. Existing quantum computers
set of communities by offering promises to solve computation- exhibit qubit-to-qubit variation in terms of error-rates (e.g.,
ally intractable problems. Some examples include material and gate-error, readout error). Techniques such as Variation-Aware
drug discovery, database search, chemistry [1], and machine Allocation [16] exploit these variations and map operations to
learning [2]. Companies such as Google have demonstrated less noisy qubits to improve the program success probability.
quantum advantage with their 53-qubit superconducting qubit Recent works also address readout error and crosstalk. Ref [17]
based quantum processor [3]. Despite all the promises and included the readout error in the noise-adaptive mapping flow
potentials, quantum computers are still in infancy. Existing by defining weighted gate-error and readout-error in the cost
Noisy Intermediate-Scale Quantum (NISQ) [4] era computers function. The work in [18] addresses crosstalk by serializing
have limited qubit connectivity. Besides, the qubits are noisy selected gate operations in a mapped circuit. The work in
and have a short lifetime. [19] proposes to fuse outcomes of different mappings of the
Various orthogonal approaches are proposed to solve the same circuit to filter out dissimilar errors and improve the
noise issue. First, qubits and gate operations can be made inferencing strength of a quantum-circuit.
more robust and accurate from a fabrication and control Considering the scaling trend of current NISQ computers,
perspective. Second, Quantum Error Correction (QEC) can hardware architectures with more than 200-1000 qubits will
fix errors. However, in existing devices with a few qubits, become a reality in less than 5-years. Therefore, parallel
applying QEC is not practical. Hybrid algorithms can use these execution of multiple programs is proposed in [20] to max-
NISQ machines without QEC where a quantum and a classical imize hardware utilization. However, programs concurrently
processor work in tandem to optimize the parameters of a running on the same hardware in a multi-programming scheme
Parameterized Quantum Circuit (PQC) [1], [5]–[7]. In [8]– suffer from crosstalk noise that introduces two issues. First,
[12], the authors studied resilience of a variational algorithm, it degrades program fidelity, and second, it creates security
vulnerabilities.
The work is supported in parts by National Science Foundation (NSF) Therefore, the noise in NISQ devices has a significant
(CNS-1722557, CCF-1718474, OIA-2040667, DGE-1723687 and DGE-
1821766) and seed grants from Penn State Institute for Computational and impact on security and resiliency. In this paper, we present
Data Sciences and Penn State Huck Institute of the Life Sciences. security vulnerabilities in NISQ devices along with design for

978-1-7281-7641-3/21/$31.00 ©2021 IEEE 186 22nd Int'l Symposium on Quality Electronic Design

Authorized licensed use limited to: Univ of Calif Santa Barbara. Downloaded on June 23,2021 at 07:31:15 UTC from IEEE Xplore. Restrictions apply.
uWave pulses gates. The native 2-qubit gate can only be applied on qubits
1
Gate error that have an edge in the graph. For instance, CNOT can be
2 applied on qubit-1 and 2 of IBMQX2 (Fig. 1) but cannot be
decoherence applied between qubit-1 and 3. This limited connectivity is
known as coupling constraints.
uWave Variational circuits or Parameterized Quantum Circuits:
uWave
4 lines
lines
Parameterized Quantum Circuits (PQC), composed of a set
Q3 Q4
Readout 1
Qubit of parameterized single and controlled single-qubit gates, is
error Q2 known to be resilient to errors [1], [5], [21]. A classical
0 2 3
Q1 Q0 optimizer iteratively optimizes the parameters to obtain a
3 4 desired input-output relationship. For example, a low-depth
crosstalk Qubit variational algorithm [7] is used for supervised learning. It
encodes the input classical feature vectors into the amplitudes
Fig. 1: Diagram of a 5 qubit quantum computer from IBM. The of a quantum system. A PQC is then used to classify the
boxes are the superconducting qubits and the zigzag lines are inputs.
wave-guides that carry the gate and readout microwave pulses. Multi-programming: The multi-programming environment
Various errors are annotated. The coupling graph (IBMQX2) in quantum computers [20] is similar to cloud-based servers in
is also shown on the right. the classical domain where programs from various users may
run on the same server simultaneously. Each program may use
resiliency and security considerations. different copies of computational blocks or the same block in
Contributions: We, (i) review various sources of noise a time-multiplexed manner. Similarly, two quantum programs
in NISQ computers; (ii) present two security vulnerabilities: can run simultaneously on two different sets of qubits in
crosstalk induced fault injection and readout error dependent quantum computers. The multi-programming model aims to
information leakage attack models; (iii) describe program reduce the queue size and maximize hardware resource usage.
isolation to mitigate the security threat and qubit reallocation
for resilience. III. E RRORS IN NISQ COMPUTERS
The following is the outline of the rest of the paper: A. Errors in qubit
Section II discusses the basics of quantum computing. Sec-
Various errors annotated in Fig. 1 are described below:
tion III reviews various noise sources. Section IV discusses
1) Gate error: Gate errors are due to imperfect logical
security vulnerabilities. Section V presents techniques to han-
operations. As mentioned earlier, quantum gates are realized
dle resilience and security issues. Finally, Section VI draws
with pulses that can be imperfect. For example, consider
conclusion.
the RYπ/2 gate. Due to variation, the pulse intended for a
II. BASICS OF Q UANTUM C OMPUTING π/2 rotation may under or over-rotate the qubit. For existing
Qubits: Qubit is the building block of a quantum processor. systems, the 2-qubit gate errors (e.g., CNOT error) is an order
It is a two-level system that stores data as quantum states. of magnitude higher than the 1-qubit gate error. Quantum
Qubits have unique properties like superposition, entangle- circuit with more number of gates will accumulate more gate
ment, and interference. For example, the amplitude of a error. Thus, one objective of compilation and error-tolerant
qubit state can be both negative and positive. Thus, quantum mapping is to reduce the number of gates.
algorithms can tailor the gate operations to either cancel out 2) Decoherence: Qubits may interact with the environment
or amplify particular states using quantum interference. These and spontaneously lose their state. To illustrate the issue, we
properties are at the core of quantum advantage. show the effect of relaxation which one type of decoherence.
Quantum gates: Quantum gates are operations that mod- Due to this, a qubit in state 1 spontaneously loses energy
ulate the data (current state) of a qubit to perform desired and ends up in state 0. Decoherence of a qubit is usually
computation. Unlike classical logic gates, quantum gates are characterized by T1 relaxation time and T2 dephasing time.
not physical. Instead, they are realized using pulses. For If the gate time is tg , then roughly 1 − exp(tg /T 1) is the
superconducting qubits (used in IBM machines), the pulse is error probability. Thus, an error-tolerant mapping must try to
electromagnetic (radio-frequency). Fig. 1 shows a diagram of minimize the depth of the quantum circuit.
a 5-qubit IBM quantum processor (IBMQX2). Intuitively, the 3) Readout or measurement error: The readout error is
gate pulses induce a varied amount of rotation (depends on the classical bit-flip error due to the imperfect measurement
pulse amplitude, duration, and shape) along different axes in circuitry. For example, say state 0 is prepared and measured
the Bloch sphere. 10000 times. Out of these 10000 trials, 9000 times it reads 0
In existing systems, only a handful of native gates or basis and other 1000 times it reads 1. Thus, measurement error rate
gates are possible. For IBM systems, the basis gates are single- M01 will be 1000/10000 = 0.1 (Mxy stands for probability of
qubit: U1, U2, U3, and ID, and 2-qubit: CNOT. Any non- reading out state ‘y’ while the prepared state is ‘x’). Readout
native gate in a quantum circuit is decomposed using the native error is gate count agnostic and depends only on the state.

Authorized licensed use limited to: Univ of Calif Santa Barbara. Downloaded on June 23,2021 at 07:31:15 UTC from IEEE Xplore. Restrictions apply.
 
#
  

 



   

  

 
!
" 




 
 






         
 



Fig. 2: The trend of 1-qubit U3 gate error and T1 relaxation Fig. 3: (a) Fidelity distribution of QFT-3 benchmark under
time collected over 30 days period from ibmq vigo. The trend different error sources in isolation. The distribution shows
clearly shows the error-rate varied over time. Moreover, the that 2-qubit gate error is the dominant error source. (b) Mean
mean values for different qubits do not coincide meaning there fidelity and gate counts for several benchmarks showing that
are qubit-to-qubit variations. 2-qubit gate error causes maximum loss in fidelity.
QV0
User A (victim) circuit
QV1
4) Crosstalk: The gate pulse targeted for one qubit can QA0
Xtalk

leak to a non-target qubit. This unintentional excitation can QA1
be called ‘crosstalk’. A recent experimental study reveals that
crosstalk between parallel gates can increase gate-errors by User B (aggressor) driving qubits to
introduce crosstalk error in victim qubits
2X-3X [18]. In [22], authors show that including crosstalk
error in simulation matches experimental results closely prov- Fig. 4: Conceptual diagram of the proposed fault injection
ing the significant impact of crosstalk. Crosstalk introduces scheme [26]. The adversary can continually drive his/her
a conflicting compilation decision. For example, maximizing qubits with quantum gates (here, CNOT) to inject error in
parallel operations reduce decoherence. However, it can intro- victim’s outcome through crosstalk.
duce more crosstalk.
substantiate that noise adversely affects circuit performance or
B. Qubit-to-qubit variation in quality metrics
resilience.
Fig. 2 shows error-rate variations among qubits in an IBM
quantum computer. The figures clearly show that some of the B. Impact on Security (Attack model-1: Fault injection)
qubits have a smaller error-rate compared to others. Thus, The attack model assumes the adversary can run his/her
utilizing qubits with a smaller error-rate to perform more program on the same quantum hardware as the victim program.
operations can be beneficial. Several works [16], [17], [23], A conceptual diagram of the attack is given in Fig. 4. The
[24] leverage this spatial variability of qubit error-rates to adversary may be interested in launching a denial-of-service
improve the error tolerance of a quantum program. (DoS) attack by corrupting the victim’s computational out-
come. It is appealing to the adversary as the victim’s compu-
C. Temporal variation in quality metrics
tation may have substantial socio-economical significance. For
Error-rates exhibit temporal variations i.e., the error-rates example, an engineered fault injection in the weather forecast
tend to drift in time (Fig. 2). Quantum circuits like classifiers, or financial portfolio optimization calculation can provide
which are trained once and used multiple times, are heavily
affected by the temporal variation in qubit quality [25].
IV. I MPACT OF N OISE ON R ESILIENCE AND S ECURITY
A. Impact on Resilience
Fig.3 shows the simulated impact of noise on circuit
resilience [23]. Ideally, the output fidelity of the quantum
program is 1.0. Fidelity measures how close is the
 noisy output
is to the target output. It can be defined as (ρ1/2 σρ1/2 ).
Here, ρ is the ideal output density matrix, and σ is the output
with noise. However, when we simulate the QFT-3 benchmark
with various noise, it shows a varied drop from the ideal
value (Fig.3a) with 2-qubit CNOT gate-error causing the most Fig. 5: Experimental output probabilities of Grover–3 algo-
degradation. Fig. 3b shows the fidelity under noise for and rithm from ibmqx2 (on Qubits–0,1,2). The probability of the
gate counts in several more benchmarks. The trend shows that correct output degrades with increasing number of adversarial
2-qubit gate-error is the dominant error type. The results also CNOTs. After a certain number of CNOT, the victim cannot
infer the output with sufficient confidence.

Authorized licensed use limited to: Univ of Calif Santa Barbara. Downloaded on June 23,2021 at 07:31:15 UTC from IEEE Xplore. Restrictions apply.
 
    
  #  
 "   
  
  
  !    !   !   !


  


  


  

  
  #   !   !
"
  !

  !


# 
"  
 
 "
 
   #
"  
        #   

   
   
   
  
    

Fig. 6: (a) Coupling graph of 7 qubit ibmq casablanca. (b)-(e) Reading out 1 vs 0 has distinct crosstalk effect on the outcome
of neighboring qubit which can be exploited to leak information i.e., what data is being read at victim end.

an undue financial or political advantage to the adversary. Experimental validation: We experimentally demonstrate
The adversary is assumed to have the following capabilities: the attack on 7-qubit ibmq casablanca (Fig. 6a). We choose a
He/she, (i) has public information about the quantum computer pair of qubit on the device one of which runs the adversary
such as coupling map of the hardware; and (iii) can run several sensing circuit and another runs the victim circuit. The victim
copies of small quantum circuits. It enables the adversary to circuit is two types: (i) one puts the victim qubit at state “0”
more number of remaining qubits after the victim program and (ii) another puts the victim at state “1”. We use even
is allocated. Thus, it ensures at least one adversary circuit is (4) and odd (5) numbers of X gates in the victim circuits
strongly coupled to the victim circuit for fault injection. to generate states 0 and 1, respectively. In both cases, the
Analysis and experimental validation Fig. 5 shows the adversary sensing circuit contains only one X-gate. Fig. 6b–e
output probability variation for Grover–3 search algorithm plot the output distribution of the adversary qubit (count of
with a varied number of adversarial CNOT gates. Note that the 1 vs. count of 0) for the victim being 0 (red square) and 1
correct output for this circuit is ‘110’ (thick black line). The (solid black diamond). The figures clearly show that adversary
experimental results clearly show that state ‘110’ is prominent output distributions form distinct clusters for victim qubit 0
among other states without adversarial drive (or with a smaller and 1. These reference signatures can be used to classify an
drive). unknown victim output using statistical distance such as Total
Under a varying number of adversarial CNOT gates, the Variation Distance (TVD), Kullback-Leibler divergence, etc. If
state ‘110’ starts degrading. After ∼20 CNOTs, the probability it is closer to 0, then the victim output can be classified as 0
of ‘110’ drops below the probability of other states. At and vice versa.
this point, the correct result from Grover–3 search cannot V. D ESIGN FOR RESILIENCE AND SECURITY
be inferred with reasonable confidence. Here, the confidence
This section presents exemplary directions to address the
is defined as the ratio of the probability of correct output
resilience (both spatial and temporal) and security issues.
state and maximum probability among remaining output state.
Ideally, confidence should be as high as possible (at least A. Design for resilience
> 1.0). The gate error and readout error are rooted in the control
circuitry and the fabrication of qubits. The crosstalk errors
C. Impact on Security (Attack model-2: information leakage) are tied closely with the physical layout of and the coupling
strength among qubits. These topics are beyond the scope of
The readout of qubits shows state-dependent error prob- this paper. Instead, we focus on design automation techniques
abilities i.e., reading out 1 vs. 0 has asymmetric bit-flip to optimize the performance of quantum circuits in presence of
probabilities. Moreover, the error rates are correlated among constraints like spatial and temporal variation of qubit quality
qubits. An adversary can exploit this property to sense a as described below.
victim’s output by reading out his/her qubits. The adversary’s 1) Addressing spatial variations: We exploit the physical
sensing circuit can consist of a single X (NOT) gate that will qubits with smaller error-rates to improve the program fidelity.
put the qubit in a “1” state. The adversary circuit will run in We start with a depth-optimal (SWAP-inserted and nearest-
parallel with a victim circuit for a set number of trials (also neighbor (NN)-compliant) version of a quantum circuit that
known as shots in IBM Q). Ideally, adversary output should can be generated using mapping techniques like [15]. The
always be 1. However, due to state-dependent readout error initial logical-to-physical qubit mapping of the circuit is
(and noise sources), it will not always be “1”. Example: If named initial mapping (IM ). The IM itself is a sub-graph
the adversary circuit prepared in state “1” is measured for of the coupling map of the quantum computer. There can
8192 shots, it may generate the following distribution of 1s be multiple isomorphic sub-graphs (ISG) of the IM in the
and 0s {“1”: 7000, “0”: 1192}. This output distribution of the parent graph. The circuit can be mapped to any of these sub-
adversary will be different for the victim being “0” and “1”. graphs without any modification or gate insertion. Since gate-
This dependence leaks a signature of the victim state. error varies from qubit-to-qubit, some ISGs may be better

Authorized licensed use limited to: Univ of Calif Santa Barbara. Downloaded on June 23,2021 at 07:31:15 UTC from IEEE Xplore. Restrictions apply.

 
 

  

      


 


  
 , +


-

  ! " / 


# 
$
%
&'&
()


 
 


++
$ +
%
&
 
. 
&


  
$**$      &'&
()  $
%
$ +

Fig. 7: Finding ISGs for a hypothetical workload on 15-qubit ibmq 16 melbourne.

in terms of fidelity than others. Finding all the ISGs is NP-


complete [27] problem and can be intractable for larger qubit
systems. However, quantum hardware developed so far shows
some regularities in their coupling graph [23]. Major vendors
fabricate superconducting qubits in a regularized structure for
scalability e.g., IBM’s 15-qubit (ibmq 16 melbourne) and 20-
qubit (e.g., ibmq tokyo) chips placed their qubits on the nodes
of 2x8 and 4x5 lattices, respectively [28]. These regularities
can be exploited to reduce the time and space complexity of
finding the ISGs. The steps are described with an example
Fig. 8: Inference performance of a 4-bit parity classifier
in Fig. 7. For instance, consider a hypothetical workload that
(trained with three different approaches) on IBMQX4 [25].
can initially be mapped to physical qubits p0, p1, p2, and p13
of ibmq 16 melbourne (Fig. 7a). Fig. 7b shows the extracted ial Network (QGAN), Quantum Neural Network (QNN), etc.
rectangular (H) grid with two dummy qubits that are not a Any application involving these algorithms has two distinct
part of the original workload. Next, the H-grid is slid over phases: (i) the training phase, and (ii) the inference phase.
the parent graph to generate new grids or sub-graphs. Inside Training can be done entirely in a classical computer (with a
each H-Grid, the given workload can be mapped in at least 4 quantum simulator) or a hybrid environment (a quantum and
different ways as shown in Fig. 7c. The above steps generate a classical processor working in a loop) [25]. Due to scarce
a pool of ISGs from which the best need to be selected in quantum computing resources, training in a fully classical
terms of program fidelity. For Fig. 7 this sliding and mirroring environment can be an attractive option as training is expected
generates 20 sub-graphs. The proposed approach offers a to be done once.
trade-off between scalability and the theoretically best solution During training, we may neglect any device noises (app-01)
as it does not search ISGs exhaustively. The best NISG can be or we can model device noises with appropriate noise channels
picked using the approximate equation SP = i=1 (1 − i ). (app-02) [25]. If we take the most recent noise calibration data
Here, SP denotes the probability of success, N = total number (app-02:a), the trained circuit may be optimized for the current
of gate operations, and i is the error-rate of the ith gate noise levels. It may perform poorly at a later stage when the
operation. The ISG with the highest SP should be used. noise level changes. In [25], we proposed to use the average
2) Addressing temporal variations: Noise in quantum value of the noise parameters collected over a large number
computers changes temporally [25]. A circuit optimized on of calibration runs (app-02:b). A 4-bit parity classifier PQC
a day may perform poorly at a later stage. In practical appli- was trained with app-01, app-02:a, and app-02:b. Hardware
cations, we may need to reuse a quantum circuit frequently, experiments on IBMQX4 showed that, although the app-02:b
e.g. a trained quantum classifier may be used later to perform (NoisyAVG in Fig. 8) fared poorly over app-02:a when the
classification tasks. In this section, we review two recent trained circuit was executed on the hardware at the end of
proposals to address temporal variations in qubits for noise- the training phase (Noisy in Fig. 8) in terms of the ratio of
resilient computation. correct and incorrect measured output over 1024 samples, it
Just-in-time Compilation: This technique [29] resembles performed better than app-02:a when the circuit was executed
just-in-time (JIT) compilation techniques in classical compu- on a different day (NoisyDD in Fig. 8). Both approach fared
tation that manipulate the knowledge of the run-time envi- better than app-01 (Pure in Fig. 8).
ronment for program speed-up. In this approach, the quantum
program is compiled just before execution with the most recent B. Design for security
noise calibration data of the target hardware using the existing In this section, we discuss a countermeasure for crosstalk-
noise-resilient compilation techniques. The authors reported induced fault injection. Introducing isolation/buffer qubits be-
≈3X improvement in circuit reliability on average. tween user programs can minimize the impact of crosstalk
Noise-aware Training of PQC: PQC is used in most near- and can thwart fault injection attacks. This is illustrated
term quantum algorithms e.g., Quantum Generative Adversar- experimentally with an example on ibmq 16 melbourne.

Authorized licensed use limited to: Univ of Calif Santa Barbara. Downloaded on June 23,2021 at 07:31:15 UTC from IEEE Xplore. Restrictions apply.

 [9] M. Alam, A. Ash-Saki, J. Li, A. Chattopadhyay, and S. Ghosh, “Noise
     resilient compilation policies for quantum approximate optimization
  algorithm,” in 2020 IEEE/ACM International Conference On Computer
 
!"#
Aided Design (ICCAD), 2020, pp. 1–7.
 [10] M. Alam, A. Ash-Saki, and S. Ghosh, “Circuit compilation method-
ologies for quantum approximate optimization algorithm,” in 2020
53rd Annual IEEE/ACM International Symposium on Microarchitecture
(MICRO), 2020, pp. 215–228.
 [11] M. Alam, A. A. Saki, and S. Ghosh, “An efficient circuit compilation
flow for quantum approximate optimization algorithm,” in 2020 57th
ACM/IEEE Design Automation Conference (DAC), 2020, pp. 1–6.
 [12] M. Alam, A. Ash-Saki, and S. Ghosh, “Accelerating quantum approx-
       imate optimization algorithm using machine learning,” in Proceedings
 
 of the 23rd Conference on Design, Automation and Test in Europe, ser.
DATE ’20. San Jose, CA, USA: EDA Consortium, 2020, p. 686–689.
Fig. 9: Adding buffer qubit can minimize fault-injection. With [13] L. Qiu, M. Alam, A. Ash-Saki, and S. Ghosh, “Resiliency analysis
buffer qubit, the program confidence remains generally higher and improvement of variational quantum factoring in superconducting
than the case with no-buffer qubit. qubit,” in Proceedings of the ACM/IEEE International Symposium on
Low Power Electronics and Design, ser. ISLPED ’20. New York, NY,
USA: Association for Computing Machinery, 2020, p. 229–234.
[14] A. Ash-Saki, M. Alam, and S. Ghosh, “Improving reliability of quantum
Suppose, the user–1 program is allocated to true random number generator using machine learning,” in 2020 21st
{p0,p1,p13,p14}. Now, there are two options to allocate International Symposium on Quality Electronic Design (ISQED), 2020,
pp. 273–279.
a 2nd program on the hardware: (i) without buffers qubits [15] A. Zulehner, A. Paler, and R. Wille, “An efficient methodology for map-
and (ii) with buffer qubits. In option–1, the 2nd program will ping quantum circuits to the ibm qx architectures,” IEEE Transactions
be allocated to neighboring qubits {p2, p12} so that two user on Computer-Aided Design of Integrated Circuits and Systems, vol. 38,
no. 7, pp. 1226–1236, 2018.
programs are next to each other. In option–2, however, the [16] S. S. Tannu and M. K. Qureshi, “Not all qubits are created equal:
user–2 program will be allocated to {p3, p11} leaving {p2, A case for variability-aware policies for nisq-era quantum computers,”
p12} unallocated to any program (i.e., buffer qubits). in Proceedings of the Twenty-Fourth ASPLOS, ser. ASPLOS ’19, New
Fig. 9 shows the experimental confidence trend for these two York, NY, USA, 2019, p. 987–999.
[17] P. Murali, J. M. Baker, A. Javadi-Abhari, F. T. Chong, and M. Martonosi,
options. In option–1 (without buffer qubits) confidence drops “Noise-adaptive compiler mappings for noisy intermediate-scale quan-
below 1.0 for many cases. However, in scenario–2 (with buffer tum computers,” in Proceedings of the Twenty-Fourth International
qubits) confidence remains above 1.0. The trend shows that the Conference on Architectural Support for Programming Languages and
Operating Systems, 2019, pp. 1015–1029.
implementation with buffer qubits provides higher reliability [18] P. Murali, D. C. McKay, M. Martonosi, and A. Javadi-Abhari, “Software
(up to 1.87x). However, it incurs overhead in terms of few mitigation of crosstalk on noisy intermediate-scale quantum computers,”
used qubits in the device. arXiv preprint arXiv:2001.02826, 2020.
[19] S. S. Tannu and M. Qureshi, “Ensemble of diverse mappings: Improving
VI. C ONCLUSION reliability of quantum computers by orchestrating dissimilar mistakes,”
in Proceedings of the 52nd Annual IEEE/ACM International Symposium
Various error sources reduce the computing power of quan- on Microarchitecture, 2019, pp. 253–265.
tum computers. We presented an overview of these error [20] P. Das, S. S. Tannu, P. J. Nair, and M. Qureshi, “A case for multi-
programming quantum computers,” in Proceedings of the 52nd Annual
sources and described the mapping/compilation technique to IEEE/ACM MICRO, New York, NY, USA, 2019, p. 291–303.
minimize the impact of errors. We also discussed emerging se- [21] J. Romero, J. P. Olson, and A. Aspuru-Guzik, “Quantum autoencoders
curity threats on quantum computers in a multi-programming for efficient compression of quantum data,” Quantum Science and
Technology, vol. 2, no. 4, p. 045001, 2017.
environment and discussed countermeasures. [22] A. A. Saki, M. Alam, and S. Ghosh, “Experimental characterization,
modeling, and analysis of crosstalk in a quantum computer,” IEEE
R EFERENCES Transactions on Quantum Engineering, vol. 1, pp. 1–6, 2020.
[1] A. Kandala, A. Mezzacapo, K. Temme, M. Takita, M. Brink, J. M. [23] A. Ash-Saki, M. Alam, and S. Ghosh, “Qure: Qubit re-allocation in
Chow, and J. M. Gambetta, “Hardware-efficient variational quantum noisy intermediate-scale quantum computers,” in Proceedings of the 56th
eigensolver for small molecules and quantum magnets,” Nature, vol. Annual Design Automation Conference 2019, 2019, pp. 1–6.
549, no. 7671, pp. 242–246, 2017. [24] D. Bhattacharjee, A. A. Saki, M. Alam, A. Chattopadhyay, and S. Ghosh,
[2] J. Biamonte, P. Wittek, N. Pancotti, P. Rebentrost, N. Wiebe, and “Muqut: Multi-constraint quantum circuit mapping on nisq computers,”
S. Lloyd, “Quantum machine learning,” Nature, vol. 549, no. 7671, pp. in 38th IEEE/ACM International Conference on Computer-Aided De-
195–202, 2017. sign, ICCAD 2019. Institute of Electrical and Electronics Engineers
[3] F. Arute et al., “Quantum supremacy using a programmable supercon- Inc., 2019, p. 8942132.
ducting processor,” Nature, vol. 574, no. 7779, pp. 505–510, 2019. [25] M. Alam, A. Ash-Saki, and S. Ghosh, “Addressing temporal variations
[4] J. Preskill, “Quantum Computing in the NISQ era and beyond,” in qubit quality metrics for parameterized quantum circuits,” in 2019
Quantum, vol. 2, p. 79, Aug. 2018. [Online]. Available: https: IEEE/ACM ISLPED. IEEE, 2019, pp. 1–6.
//doi.org/10.22331/q-2018-08-06-79 [26] A. A. Saki, M. Alam, and S. Ghosh, “Analysis of crosstalk in nisq
[5] E. Farhi, J. Goldstone, and S. Gutmann, “A quantum approximate devices and security implications in multi-programming regime,” in 2020
optimization algorithm,” arXiv preprint arXiv:1411.4028, 2014. IEEE/ACM ISLPED. IEEE, 2020, pp. 1–6.
[6] P.-L. Dallaire-Demers and N. Killoran, “Quantum generative adversarial [27] D. Eppstein, “Subgraph isomorphism in planar graphs and related
networks,” Physical Review A, vol. 98, no. 1, p. 012324, 2018. problems,” in Graph Algorithms And Applications I. World Scientific,
[7] M. Schuld, A. Bocharov, K. Svore, and N. Wiebe, “Circuit-centric 2002, pp. 283–309.
quantum classifiers,” arXiv preprint arXiv:1804.00633, 2018. [28] IBM, “IBM Quantum Experience,” http://quantum-computing.ibm.com/,
[8] M. Alam, A. Ash-Saki, and S. Ghosh, “Design-space exploration of 2020, [Online; accessed 30-Mar-2020].
quantum approximate optimization algorithm under noise,” in 2020 [29] E. Wilson, S. Singh, and F. Mueller, “Just-in-time quantum circuit
IEEE Custom Integrated Circuits Conference (CICC), 2020, pp. 1–4. transpilation reduces noise,” arXiv preprint arXiv:2005.12820, 2020.

Authorized licensed use limited to: Univ of Calif Santa Barbara. Downloaded on June 23,2021 at 07:31:15 UTC from IEEE Xplore. Restrictions apply.

You might also like