You are on page 1of 10

INTEGRATION, the VLSI journal 84 (2022) 62–71

Contents lists available at ScienceDirect

Integration
journal homepage: www.elsevier.com/locate/vlsi

On circuit developments to enable large scale circuit design while


computing with noise
Naveen Kumar Macha a, Md Arif Iqbal c, *, Bhavana Tejaswini Repalle b, Mostafizur Rahman c
a
Nvidia Corporation, 2788 San Tomas Expy, Santa Clara, CA 95051, USA
b
Marvell Semiconductor Inc, 5488 Marvell Lane, Santa Clara, CA 95054, USA
c
University of Missouri Kansas City, 801 E 51st St, Kansas City, MO 64110, USA

A R T I C L E I N F O A B S T R A C

Keywords: The conventional CMOS scaling trend faces device scaling limitations, interconnect bottleneck, and signal
Crosstalk computing integrity issues due to crosstalk, which is the unwanted interference of signals between neighboring metal lines.
Design constraints Traditional computing circuits always try to reduce the crosstalk noise by applying various circuit and layout
Large-scale circuit design
techniques. In contrast, Crosstalk computing is a new computing framework that can leverage this detrimental
Signal integrity
effect and convert it astutely to a useful feature. The Crosstalk is engineered into a logic computation principle by
leveraging deterministic signal interference for innovative circuit implementation. In this paper, we present a
comprehensive circuit framework for Crosstalk Computing and derives all the key circuit elements that can
enable this computing model for large-scale design. It also performs a comparison study between Crosstalk
circuits and existing CMOS-based approaches. The ability to design a wide range of logic circuits (basic and
complex logics) and programmable gates compact in design and minimal in transistor count is unique to
Crosstalk Computing, which leads to benefits in the circuit density, power, and performance. The circuit
simulation results designed at 7 nm show 3.4x improvement density, 62% reduction in Energy-Delay-Product
(EDP), and 34.5% improvement in performance compared to counterpart implementation in CMOS circuit style.

1. Introduction current device and circuit development, reveal that they cannot serve as
a complete replacement solution for CMOS digital Chips but can only
MINIATURIZATION of Integrated Circuits (ICs), conventionally referred to solve specific problems efficiently [36–41]. Therefore, there is a need to
by Moore’s Law [1,2], has been offering unprecedented socio-economic explore alternate computing approaches based on nanoscale mecha­
benefits. Thus, the past few decades have seen exponential growth in nisms/effects and possess the strong merits of conventional CMOS
digital electronics capabilities primarily due to the ability to scale ICs to computing and provide Power, Performance, and Area (PPA) improve­
smaller dimensions while attaining power and performance benefits. ments over CMOS.
This scalability is now being challenged [3] due to the lack of scaled Crosstalk computing is a new computing framework where the
transistors’ performance, leakage, and manufacturing complexities [4]. interconnect interference between nanoscale metal lines is intentionally
Specifically, the challenges are device and fundamental material limi­ engineered to exhibit the programmable Boolean logic behavior. The
tations such as quantum mechanical effects [5,6], short channel effects reliance on just the coupling between metal lines and not on the tran­
[7], process variation [3], and device parasitics [3], etc. Recent research sistors for computing and the programmability aspects are the founda­
directions like neuromorphic computing [8–15], Quantum-dot Cellular tions for better scalability, fault tolerance, and security [21–28,42,44].
Automata (QCA) [16], Single-Electron Transistors (SET) [17], nano­ To the best of our knowledge, as of now, there is no prior work in the
magnetic and spintronic devices [18,19], Quantum Computing [20], etc. area of the Crosstalk computation. Most of the research is done on
are promising. However, they lack significant technology and ecosystem calculation the amount of Crosstalk generated from a circuit and how to
development (from device and circuit to chip design) and suffer from mitigate them. Interestingly, Crosstalk Computing does not require
reliability and variability issues. Moreover, some of these approaches, complete technology development, as in the case of emerging technol­
like Quantum Computing and Neuromorphic Computing, from their ogies. It can be realized with the established manufacturing setups of

* Corresponding author.
E-mail address: mibn8@mail.umkc.edu (M.A. Iqbal).

https://doi.org/10.1016/j.vlsi.2022.01.002
Received 1 June 2021; Received in revised form 11 September 2021; Accepted 1 January 2022
Available online 8 January 2022
0167-9260/© 2022 Elsevier B.V. All rights reserved.
N.K. Macha et al. Integration 84 (2022) 62–71

foundries; it leverages the existing fabrication flows, techniques, tools, specific arrangements to drive inputs, b) engineered coupling capaci­
and materials. As Crosstalk Computing uses the Silicon-based fabrica­ tance between these lines, and c) synchronous clock inputs and one
tion technology matured over 60 years, the defects and variability issues transistor-based scheme to control the output behavior. Fig. 1A presents
are expected to be less; thus, reliability and fault tolerance will be better an abstract view of a fabric that implements crosstalk computing, where
than the other emerging nanoelectronics devices and circuits. the metal lines are arranged on the top and the controlling transistors are
As the Crosstalk technology relies on noise for computation, logic at the bottom. We intentionally arrange metal lines such that they can
cascading and maintaining signal strength become critical issues for interfere in a deterministic manner (Fig. 1A). Then we capture this
large-scale circuits. The output node of the Crosstalk circuit should be deterministic interference in a specific timeframe to ascertain logic. Let
able to drive the fanout gates in actual design and maintain the signal us use an example of a two-input (A and B) logic. In Crosstalk, we would
integrity of binary voltage levels [21]. Our new circuit technique solved drive these inputs in two adjacent metal lines, and in between those
the above issues, and we have also shown the experimental evidence of a lines, we will have another metal line to capture the interference charge
functioning Crosstalk computing chip at TSMC 65 nm technology in (or the output). In interconnect terminology, the driving inputs would
Ref. [35]. Our demonstration of computing constructs, gate level con­ be called Aggressors (named Ag1 and Ag2). The interference capturing
figurability, and foundry processes’ utilization show the technology’s line would be called the Victim (Vi). The victim would be intentionally
feasibility. In our previous work, we have not discussed Crosstalk cir­ kept floating (not connected to the power supply or ground). As the
cuits’ cascading circuit issues and solutions to overcome them. In this aggressors transition from 0 to 1 or 1 to 0, corresponding interference
work, we present for the first time all circuit developments and a design would result in voltage gain or drop in the Victim node. If any of the
framework needed for large-scale circuit implementation using Cross­ input transitions (A or B) from 0 to 1 result in a sufficiently high voltage
talk Computing. All the simulation work presented in this manuscript is induction in the victim, we would achieve OR logic, and if only when
done using ASAP 7 nm PDK [43]. The framework provides the pathway both A and B transition from 0 to 1, we notice high voltage induction in
to overcome the cascading challenges, create robust signals at the output victim node, we would call the metal arrangement as performing AND
nodes, and then details the design constraints. We have also imple­ logic.
mented large-scale designs from MCNC benchmark suits using ASAP 7 To capture the behavior in circuits for large-scale integration, we
nm PDK and compared them with CMOS counterparts. Our results show utilize a control transistor and a clock. With the help of the control
3.4x improvement density, 62% reduction in EDP, and 34.5% transistor, we periodically preset/sink the Victim node to the ground
improvement in performance compared to CMOS-based circuits. The and deterministically keep the Victim node floating (ready for charge
unique capabilities of Crosstalk computing can provide new opportu­ induction) during logic computation. Thus, crosstalk circuits operate in
nities for future electronics. logic Evaluation State (ES) and Discharge State (DS). In addition, an
The rest of the paper is organized as follows. Section II discusses the inverter is attached to the victim node is required to achieve a complete
Crosstalk Computing concept, provides the intuition for logic imple­ voltage swing for the following stages. Fundamentally though, the logic
mentation. Section III presents the circuit techniques to implement basic computation happens due to the interference between interconnects and
and complex logic circuits based on the input signal. Next, we discuss the without the help of the transistor.
cascaded circuit issues in section IV and then present the solutions in
section V. In Section VI, we present the comparison of Crosstalk circuits 3. Crosstalk Circuit Styles
with the CMOS counterpart. Finally, Section VII concludes the paper.
The Crosstalk signal interference between two signal-carrying metal
2. Crosstalk Computing overview lines will happen when there is a relative change in two nets’ potential/
voltage. Therefore, Crosstalk circuits can only detect a new logic level
Crosstalk computing leverages interference between closely coupled through signal transition, and logic computation can happen when input
signals carrying metal lines to do useful computation. The key compo­ signal changes. Furthermore, the types of signal transitions can be
nents necessary for this computation are: a) metal lines and their logic- positive (0 → 1), negative (1 → 0), or both. Thus, based on the different

Fig. 1. Abstract view of Crosstalk fabric and Crosstalk primitive gates (AND and OR). A) Crosstalk Fabric; Coupling capacitances will be on the top denoted as
‘dielectric’ in between nano-metal lines denoted as ‘aggressor’ and ‘victim’. B). i) AND schematic, ii) Simulation results of AND gate, iii) OR schematic, iv) Simulation
results of OR gate.

63
N.K. Macha et al. Integration 84 (2022) 62–71

transition types of signals, the Crosstalk circuits have been classified as state in Negative transition gates, i.e. when the Discharge signal is high
the following styles: (opposite to Positive Transition gates).
It can be noticed from the schematic figures that the margin func­
3.1. Positive Transition Crosstalk Circuits tions of Crosstalk Negative transition gates (AND and OR) are in com­
plement to Positive transition Crosstalk Gates. CTM (CND) for AND gate
Fig. 2A depicts the positive-transition Crosstalk circuits. In these specifies that the output flips its state from 1 to 0 (as initial output state
circuits, the initial state of the Vi node and all input aggressors during DS is 1) when victim net experiences 1 → 0 transitions from a total coupling
state is 0. During the ES state, the input signals the transition from 0 → 1 capacitance greater than or equal to CND, which happens when either
on the aggressor lines, which results in charge accumulation on the one or both of the transition of the input from 1 → 0. Similarly, CTM
victim node. The 0 → 1 transition on inputs contributes charge to the Vi (2CNR) for OR gate states that the output is 0 only when the victim net
node, thus identified as the logic 1. Whereas if logic 0, there is no signal experiences 1 → 0 transitions from a total coupling capacitance greater
transition and no contribution of charge. Therefore, the working than or equal to 2CNR, which happens only when the transition of the
mechanism in positive transition Crosstalk circuits is through the charge input from 1 → 0. Also, the coupling strength CND > CNR in case of the
summation principle. Fig. 2A shows the example of such circuits. When negative transition Crosstalk gates, whereas CNR > CND in case of Posi­
signal A transitions from 0 → 1 on Ag1 or signal B transitions from 0 → 1 tive transition Crosstalk gates. This is because previous stage gates will
on Ag2 or when both the transition of the signal, the charge accumulates maintain the initial state of 1 on input aggressors.
on victim node and results in either NAND or NOR gate based on the
coupling strength and inverter trip-point. The discharge transistor drain 3.3. Dual Transition Crosstalk Circuits
is connected to the victim line, and the source is connected to GND. In
this way, the victim node is refreshed to ground potential before the The dual transition Crosstalk Circuits leverage both high-to-low and
arrival of any signal. Previous stage gates will maintain the initial state low-to-high signal transition types for logic implementation purposes. In
of 0 on input aggressors. this mechanism, both positive and negative transition aggressors co-
The circuit figures also list the margin function for respective cir­ exist within the single gate. In Fig. 2C.(i)&(ii), the blue indicates a
cuits. It signifies the number of quantized capacitances through which Vi positive transition net with 0 as the initial state. The green color in­
needs to see the transitions to flip the logic state at the inverter output. It dicates a negative transition gate having logic 1 as the initial state. The
represents the circuit functionality, i.e., the number of inputs needed to main differences in AND and OR gates are the arrangement of the
change their initial state to achieve the intended logic. The two inputs of Discharge transistor and initial states of the Vi node and aggressors. As
NAND and NOR (Fig. 2A) get quantized capacitances, CND and CNR depicted in Fig. 2C.i, the AND gate uses a discharge transistor (NMOS
(specific to that circuit). The tables adjacent to the circuit diagram connected to the GND), and the OR gate (Fig. 2C.ii) uses a precharge
(Fig. 2. A.i., B.i., C.i.) lists the margin function (CTM). A margin function transistor (PMOS connected to the VDD). Fig. 2C.iii shows the simula­
(CTM) for the Crosstalk gate indicates how many and what input must be tion response of AND and OR gates with the correct functional response.
1 for the inverter to alter its state. CTM (2CND) for NAND states two in­ In both circuits, the initial state of input A is logic 0, and the initial
puts need to change 0->1 to flip the output state, CTM (CNR) for NOR state of input B is logic 1. Input A adds the charge when input logic is 1,
states, any single input needs to change 0->1 to flip the output state. while input B removes the charge when input logic is 0. Therefore, A and
B do not affect the Vi net when their input logic levels are 0 and 1,
3.2. Negative Transition Crosstalk Circuits respectively. These inputs maintain their previous logic levels from
initial states, leading to no signal transitions (therefore no output
The negative transition Crosstalk circuit mechanism is based on high change). Margin function for AND gate is CTM(CND), which means 0 → 1
to low signal transition. Fig. 2B shows the example of a negative tran­ transition on input A (note that input B experiences only 1 → 0 but not 0
sition Crosstalk circuit. The main working principle of the Crosstalk → 1 in ES state due to its initial state 1) can flip the inverter state pro­
circuit remains the same, except this time, initial states are set to logic vided the B does transition in the negative direction (1 → 0) and subtract
high. In this case, input logic 1 does not lead to any transition on ag­ the charge. This can happen only during 11 input combinations (on A
gressors. However, logic 0 makes 1 → 0 transitions and deterministically and B), thus enables the AND gate design. The margin function for OR
subtracts the charge from Vi node which is also precharged to logic high. gate is CTM (CNR), which means 1 → 0 transitions on input B (A never
In complement to NMOS discharge transistor in positive transition cir­ transitions 1 → 0 in ES state) can flip the inverter provided the input A
cuits, a PMOS transistor (Fig. 2B.(i&ii) is used as the precharge tran­ does not transition in the positive direction (0 → 1); this can happen only
sistor, where the source is connected to the Vdd, and the drain is during 00 input combinations (on A and B), thus enables the OR gate
connected to the victim net. Another end of the victim net is connected design. Note that input A never transitions 1 → 0 in ES state.
to a buffer to achieve the AND and OR gate. Fig. 2B.iii shows that ES

Fig. 2. Different Crosstalk circuits based on signal transition. A) Positive Transition Crosstalk Circuits, B) Negative Transition Crosstalk Circuit, C) Dual Transition
Crosstalk Circuits.

64
N.K. Macha et al. Integration 84 (2022) 62–71

3.4. Bypass branch circuits previous sections, for correct logic evaluation, both aggressor and victim
nodes need to be either at zero or high before the evaluation period (in
Crosstalk circuits are analogous to the Perceptron model [6], where DS state) and undergo high (0 → 1) or low (1 → 0) transitions, respec­
the weighted summation of input variables passed through an activation tively. In above discussions, the initial state requirement for the Vi node
function defines the output. The crosstalk signal interference on the Vi is addressed by the discharge/precharge transistor. However, the initial
net through different coupling strengths is equivalent to weighted input state requirement for input aggressors is mentioned to be taken care of
variable summation, and the activation function is the threshold func­ by the previous stage-gate or an additional circuit. We will discuss these
tion of the inverter. It is impossible to implement linearly inseparable requirements for three kinds of Crosstalk circuits: positive, negative, and
logic functions (XOR, etc.) with a single Perceptron. However, we can dual transition Crosstalk circuits.
cleverly fix the inseparable problem by using bypass branch circuits and For positive transition gates (shown in blue), input aggressors must
achieved single-stage XOR circuits. As shown in Fig. 3, the bypass initially be at logic 0 in the DS state. This requirement is automatically
branch uses stacked PMOS or NMOS transistors acting as AND logic. met if non-inverting logic gates drive the given gate (AND, OR, etc.), as
Four different bypass circuit styles are proposed and show in Fig. 3. shown in Fig. 4.i; this is because the output for all non-inverting gates in
Without a bypass branch, Fig. 3.i shows just a NAND gate (evident from CT circuits is the output is 0 in the DS state. Conversely, if the gate is
its margin function CTM(2CXR)). NAND gate can be converted to XOR if driven by inverting gates as shown in Fig. 4.ii, the driving gates’ DS state
the gate is somehow tricked for 00 input combination to give 0 as the outputs are 1. Therefore, if the following ES state evaluates to logic 1,
output instead of 1. During ES state (Fig. 3i), when A = 0 and B = 0, the the input aggressor has no 0 → 1 transition (it remains 1 → 1). Hence,
two PMOS transistors turn on and pull the Vi node to 1 instead of 0 based logic 1 will not be detected for logic computation in the gate, leading to
on Crosstalk Computing. Thus, the gate behaves as an XOR gate (at node incorrect output. Such connection of gates and corresponding nodes can
F) instead of the NAND gate. be called initial-state mismatch-nodes (in this case, logic 0 mismatch-
Similarly, Fig. 3.ii is a NOR gate (evident from its margin function nodes). Initial-state mismatch nodes will be simply called mismatch
CTM(CXNR)). NOR gate can be converted to XNOR if the gate is somehow nodes in the subsequent discussion.
tricked for 11 input combinations to give 1 as the output instead of 0. Similarly, for negative transition CT-gates, all input aggressors
During ES state (Fig. 3.ii), when A = 1 and B = 1, the two NMOS tran­ require 1 as the initial state. For dual transition Crosstalk gates, some
sistors turn on and pull the Vi node to 0 instead of 1 based on Crosstalk input aggressors require 1 as the initial state and others 0. As shown in
Computing. Thus, the gate behaves as an XNOR gate (at node F) instead Fig. 4.iii, inverting gates driving the negative transition gate (shown in
of AND gate. Fig. 3.iii & Fig. 3.iv are XNOR and XOR gates similar to the green color) inputs automatically meet the initial state requirement (i.e.,
last two gates, but the bypass branch is now implemented on the 1), but, as shown in Fig. 4.iv, if non-inverting gate drives these inputs,
aggressor side instead of the Vi node. Fig. 3.v shows the simulation result there will be again state mismatch (logic 1 mismatch-nodes), leading to
of XOR and XNOR gate using the bypass branch circuit styles. For all logic failures. Because the practical circuits have many such cascaded
cases, the extra transistor driven by the Dis signal in the bypass branch configurations/connection points, we can formulate two rules which
avoids VDD and GND shorts possible during the DS state (when Dis = 1). will enable functionally correct cascaded circuits. First, we observe that
Though the simulation responses are functionally correct, such all crosstalk gates have an initial state (0 or 1) for their input and output
stacking or bypass branch mechanism has one main disadvantage: nodes. Therefore, rule number one is to connect two nodes (output pin to
leakage current through the bypass branch. When the Vi node floats input pin or pin to an input pin) only if their initial states match. As
during the ES state, the leakage current seeps through the bypass branch mismatch-nodes are inevitable in practical circuits, rule number two is
and accumulates as the unwanted charge on the Vi node, leading to logic that any mismatch-nodes should be connected through some auxiliary
failure over time. However, this can be overcome by tightening the interface circuits that can fix the mismatch problem.
timing window of the ES state, which in turn constricts the overall
timing constraints. Moreover, this technique increases the transistor 5. Solutions to fix mismatch nodes
overhead due to the bypass branch. Finally, it also increases the delay
due to stacking, which are the trade-offs to achieving single-stage non- This section presents three types of solutions that are incrementally
linear logic gates. optimal. The first technique is to use some auxiliary/additional circuits
at mismatch node interfaces. The second technique is to leverage
4. Cascading circuit aspects different Crosstalk Circuit types (positive, negative, and dual transition
gates) to fix the mismatch nodes automatically. Finally, the third tech­
4.1. Cascading circuit issues nique is to modify the CMOS inverter in Crosstalk circuits such that the
mismatch nodes at the output are fixed inherently. Thus, we can
The cascading of Crosstalk circuits requires special attention. simultaneously employ all these techniques for optimal circuit design.
Crosstalk circuits bring in certain transition constraints that necessitate
additional circuit techniques in cascaded circuit topology. As seen in

Fig. 3. Bypass branch Crosstalk circuits. i-iv) Circuit schematics, v) Simulation response.

65
N.K. Macha et al. Integration 84 (2022) 62–71

Fig. 4. Cascading Circuit issues: i) No Transition issue by connecting nodes with same initial state (0) ii) Mismatch node by connecting initial-state-one output to
initial-state-zero input iii) No Transition issue by connecting nodes with same initial-state (1) iv) Mismatch node by connecting initial-state-zero output to initial
state-one input.

5.1. Auxiliary initializer circuits to fix mismatch nodes

As these auxiliary circuits’ primary purpose is to initialize the input


aggressor nodes to the required logic state (0 or 1), it is appropriate to
call them initializers. Fig. 5 shows all the proposed auxiliary initializers.
Fig. 5.i shows the Input-Low-Initializer (ILI) to fix logic 0 mismatch
nodes, and Fig. 5.ii shows the Input-High-Initializer (IHI) to fix logic 1
mismatch nodes. The initializer circuit is a combination of a trans­
mission gate and discharge/precharge transistors. The transmission
gates in both the circuits (Fig. 5.i&ii)) turn off in the DS state and thus
isolates the input aggressor node (Ag) from the mismatch signal driven
by the previous stage-gate (Dr). In the case of ILI (Fig. 5i), the NMOS will
tie the Ag node to logic-low in DS state, ensuring that the next state
gate’s input aggressor receives only logic-low in DS state.
Similarly, in IHI, the PMOS transistor will tie the Ag node to logic-
high in the DS state. Fig. 5.iii&iv shows how ILI and IHI circuits can
be employed to fix the circuits in Fig. 4.ii&iv, respectively. Fig. 5.v&vi
are regenerative versions of ILI and IHI circuits. The only difference is
that they use tristate-inverter in place of transmission gate; thus, they
can be employed in circuit scenarios where drive-strength and signal-
Fig. 6. Cascading Circuit issues and solutions: i) Logic 1 mismatch node, ii)
integrity are the concerns (for example, when fanout is large). Employing ILI circuit to fix mismatch node, iii) Simulation response of
Next, the mismatch node issue and its fixture using the initializer the circuits.
circuit are demonstrated through simulations. Fig. 6.i shows a logic 1
mismatch node (node X) where the NAND gate drives an AND gate.
the ILI circuit used.
Fig. 6.ii employs an ILI circuit to fix the logic 1 mismatch node. Finally,
Fig. 6.iii shows the simulation responses. Panel-3 and panel-4 (from top)
correspond to output (Y) of Fig. 6.(i&ii), respectively. It can be observed 5.2. Leveraging positive, negative, and dual transition gates to fix
from panel-3 that the output always remains zero, showing incorrect mismatch nodes
logic behavior because node X never sees the 0 → 1 transition required to
detect logic 1 by the next stage AND gate (which is a positive transition Though IHI and ILI circuits fix the mismatch-node problems, they
gate). However, in panel-4 correct logic behavior is achieved because of add additional circuitry as each of these circuits requires 3 additional

Fig. 5. Initializers: i) Input-Low-Initializer ii) Input-High-Initializer iii) Using ILI iv) Using IHI v) Regenerative ILI vi) Regenerative IHI vii) in-built output low
initializer viii) in-built output high initializer.

66
N.K. Macha et al. Integration 84 (2022) 62–71

transistors. We can overcome this circuit overhead by combining posi­ colored gate), or, as shown in ii.D, the inverting input gate can remain as
tive, negative, and dual transition Crosstalk gates. We have seen that the a positive transition gate (blue colored). However, the other two gates
initial states (inputs and output) of the negative transition gate com­ (input and load gate) are switched to negative transition gates (green
plement a positive transition gate. That means, when we have a colored). Any other combinations in ii.E-iiH will not work. Finally, as
mismatch node, we can alternately swap one of the gates (driver or load) shown in iii.A, there are three solutions if all the inputs (here 2 inputs)
to a different transition gate and thus fix the mismatch problem. For are driven by inverting gates. First, as shown in iii.B, we can add ILI
example, we can swap a positive transition gate to a negative transition circuits between each driver and load gate. Second, as shown in iii.C, we
gate. Fig. 7 shows three common circuit configurations, column A: i, ii, can switch the input inverting gates to negative transition gates (green
and iii, for which either driver or load gates can be swapped to negative color gates). Third, as shown in iii.D, we can swap the loading gate
transition gates (Column C–H). A few combinations turn out to be legal instead to a negative transition gate (green colored gate). All other
connections (shown with red right mark), while others are illegal con­ combinations, iii.E-iii.H, should be avoided. Thus, we can see that six
nections (shown with red cross mark) because of the mismatch nodes. In transistors overhead brought in by auxiliary circuits can be reclaimed by
case-I (first row), both the driver gates are non-inverting logic types (two using positive, negative, and dual transition Crosstalk gates in
AND gates). combination.
The output of both the AND gates is connected to the OR gate. As all The next example shows the usage of a dual-transition gate to
three gates in case-iA are positive transition gates (i.e., low to high reclaim three transistors. Fig. 8 shows two different cascading styles for
transition) and have 0 initial states for all the nodes, such cascading will implementing a Full Adder. In Fig. 8i, ILI is used for connecting inverted
maintain proper functionality. However, in case-ii (second row) and Carry output to one of the inputs of the SUM circuit. This circuit needs
case-iii (third row), one or both the driver gates are inverting logic gates thirteen transistors in total, where three transistors are needed for the ILI
(NAND), respectively. These inverting gates create mismatch nodes, as circuit. However, the same Full Adder can be implemented, as shown in
discussed in the previous section. ILIs (Input Low Initializers) can be Fig. 8.ii, using only ten transistors by leveraging a dual transition type
used to avoid these mismatch nodes, as shown in case-iiB and case-iiiB. SUM circuit.
These ILIs will ensure that the corresponding input aggressor sees the 0 The above circuit scenarios have a fanout of 2, but practical circuits
→ 1 transition during every ES state. However, such initializers increase will also have a fanout greater than two. Fig. 9.i.A & 9.ii.A show fanout
the transistor count. cases in which we have conflicting initial state requirements from
Alternately, circuit cases in column C–H show the swapping of driver different load gates. When we swap the positive transition gates with
or load gates to negative transition gates, thus removing the need for ILI negative transition gates in the circuits (to avoid initializer circuits), we
circuits as in the circuit cases of column B. The column C–H circuit might arrive at a node with conflicting requirements. A few of the fanout
configurations are combinations of positive and negative transition gates might demand a positive transition type driver gate. The remain­
gates (including legal and illegal connections) to achieve the same logic ing gates can demand a negative transition type driver gate. Fig. 9.i.A &
as the base cases/configurations, iA, iiA, and iiiA. For example, in Case-I 9.ii.A show two such scenarios. Fanout gates G1 and G2 have mismatch-
(first row), i.A and i.H are the legal connections, but all other combi­ nodes in two cases. In both cases, if we swap the driver gate (GDr) to a
nations, i.C-i.G, lead to mismatch-nodes, hence illegal. That is, a positive negative transition type, as shown in Fig. 9.i.B & 9.ii.B, to fix the
transition load gate (having 0 initial states for inputs) can only have all mismatch node issue, the inputs of the other two gates, G3 and G4, will
non-inverting positive transition gates as drivers (Fig. 7i. A) but not one turn out to be mismatch-nodes. In such a conflicting scenario, we can
or more negative transition non-inverting gates as in i.C and i.D (green- resort to the initializer circuits as shown in Fig. 9.i.C & 9.ii.C. In Fig. 9.i.
color gates). Similarly, a negative transition load gate (having 1 initial C, all the negative transition gates’ inputs are grouped and driven
state for inputs) can only have all negative transition non-inverting gates through a single IHI circuit. Similarly, in Fig. 9.ii.C, all the positive
as inputs (i.H) but not one or more positive transition gates as in i.E, i.F, transition gates’ inputs are grouped and driven through a single ILI
and i.G (blue-color gates). circuit. As drive strength can concern these ILI and IHI circuits in large
The case-ii (second row) describes the solution to connect one fanout scenarios, we can employ ILI and IHI circuits’ regenerative ver­
inverting gate and non-inverting gates as inputs to a non-inverting gate. sions (Fig. 5.v&vi).
ii.B applies the ILI circuit, but ii.C and ii.D alternately use positive and
negative transition gates to fix the mismatch-node problem. All other
possible combinations (ii.E-ii.H) are illegal. That is, as shown in ii.C, the 5.3. Crosstalk circuits with inherent output initializers
inverting input gate can be swapped to a negative transition gate (green
The Initializer circuit (ILI or IHI) can be merged with the CMOS

Fig. 7. Legal and illegal connections for cascading Crosstalk circuits.

67
N.K. Macha et al. Integration 84 (2022) 62–71

Fig. 8. Two different cascading styles for implementing Full Adder. i) Implementation of Full Adder using initializers, ii) Implementation of Full Adder using dual
transition SUM circuit.

Fig. 9. Fan-out configuration for Crosstalk circuits.

inverter of the Crosstalk gate and form an inherent output initializer the precharge (PMOS) transistor connected at the output and gated by
circuit, as shown in Fig. 10. Fig. 10.i shows the Output Low Initializer the Dis’ signal will pull the output to 1 irrespective of Vi node signal
(OLI), where the NMOS discharge transistor connected at the output and level. All down-stream fanout aggressors are automatically pulled to 1
gated by Dis makes sure the output is 0 in DS (Dis = 1) state irrespective using this circuit technique, ensuring 1 → 0 transitions in every ES state.
of the Vi node initial state. The complementary PMOS transistor (gate by The in-built initializer circuits reduce the transistor count compared to
Dis) in the circuit avoids the simultaneous turn-on of PMOS and NMOS the previous two techniques and are also regenerative.
branches. This circuit technique automatically pulls all downstream Fig. 10.iii shows the Crosstalk circuit using OLI. This circuit inher­
fanout aggressors to the ground, ensuring 0 → 1 transition in every ES ently fixes all the cascading problems discussed in previous sections. The
state. circuit operates as follows. First, in every DS state, the Vi net is dis­
Similarly, Fig. 10.ii shows the Output High Initializer (OHI), where charged/initialized to ground through the M1 transistor, M2 is OFF, M3

68
N.K. Macha et al. Integration 84 (2022) 62–71

Fig. 10. i) Output Low Initializer (OLI), ii) Output High Initializer (OHI), iii) Crosstalk Gate with inherent output low initializer.

is ON, but the Dis signal will turn OFF the Pullup branch by turning OFF aggressor transition should induce a sufficient voltage on the Vi net to
M4 transistor. Now, the M5 turns ON and shorts the output node FI to the trip the inverter. However, as net voltage induced on Vi net follows the
ground; thus, the gate’s output, in turn, input aggressor/aggressors of voltage division rule, increasing the coupling strengths will not solve the
next stage gate/gates are initialized to 0. problem. Instead, all the input aggressor couplings need to be increased;
It should be noticed that all nodes (Vi, FI, next stage input) that equally, their ratio remains the same. Hence voltage induced remains
require the initial state in the Crosstalk circuits will be automatically the same. This design conundrum can be solved by using the lower
initialized 0 using this circuit style (Fig. 9.iii). Thus, all circuits can be threshold voltage transistors that bring down the inverter’s trip-point or
connected freely without additional constraints or auxiliary circuits for skewing the inverter, i.e., sizing PMOS and NMOS differently.
functional correctness using Crosstalk gates. Fig. 10.iii implements Fig. 10iii& iv show the simulation response of Multiplier-Adder-Sorter
inverting logic functions. For non-inverting logic functions, we will use and cm85a circuits, respectively. We can observe that the correct logic
plane circuits discussed above because they inherently create an initial functionality is maintained at all output nodes. As such, any large-scale
state as 0 due to the two inverters connected on the Vi net. This circuit circuit can be implemented using CT-gates in this manner while main­
also enables the Crosstalk circuits to be compatible with existing Syn­ taining correct circuit functionality and achieving improved density,
thesis tools. The Place-and-Route (PnR) flows with only one additional power, and performance.
requirement of routing the Dis signal, which can be done through a
special route step. Thus, we can realize the crosstalk standard cell library 7. Benchmarking results and potential applications
and use them similar to CMOS cell library in ASIC design flow and
Physical Design tools. Using these primitive logic gates and circuit styles discussed above,
we have designed larger circuits like cm85a, mux, and pcle from MCNC
6. Example of large-scale implementation of Crosstalk circuits benchmark suite, polymorphic Multiplier-Sorter-Adder unit, and
compared the results with CMOS at 7 nm. Compared to the CMOS, the
We have implemented Crosstalk polymorphic logic gates in PPA (Power, Performance, and Area) benefits are significant for Cross­
Ref. [22], which can alter their logic behavior based on a control signal. talk logic-based implementations. Table 1 shows that the polymorphic
We show large-scale circuit implementation in this section using the logic gates have over 6x the density, ~1.5x performance, and ~1.5x EDP
basic Crosstalk gates, Crosstalk polymorphic gates, and the design benefits. The benefits are primarily due to reduced transistor count.
techniques explained in the earlier sections. Different circuits such as They are projected to be higher for other large-scale designs by
MCNC Benchmarking circuits [24] and 2-bit polymorphic leveraging Crosstalk Complex and Polymorphic logic gates [26]. The
multiplier-adder-sorter [13] are designed. Fig. 10 shows the example of benefits for the polymorphic Multiplier-Sorter-Adder unit were 3.4x,
two different large-scale circuit blocks, multiplier-adder-sorter circuit & 70.5% in terms of density, and EDP with comparable performance to
cm85a circuits from MCNC benchmarking suits [21]. Implementing CMOS. For cm85a and pcle circuits, the reduction in transistor count is
large circuits using Crosstalk gates requires attention on two aspects, i) 59% and 23%, respectively. As a result, crosstalk circuits show on
avoiding any possible mismatch nodes ii) maintaining signal integrity average 62% EDP benefits over CMOS counterparts. The benefits are
and drive strength for the next stage gates. While mismatch nodes can be primarily due to the reduction in transistor count. However, the
avoided by using the circuit techniques discussed above, signal-integrity reduction in EDP for the mux and pcle circuits is not much even though
and drive-strength requirements can be addressed by using repeaters (i. transistor count reduction is maximum compared to other circuits. This
e., CMOS inverters/buffers) at the output of CT-gates. The strength of is because the implementation of the circuit requires many initializer
the repeater depends on the fanout load. circuits, resulting in more switching activities, hence, less power
As shown in Fig. 10ii, Crosstalk gates are driving two fanout loads of reduction and paying the performance penalty.
higher coupling capacitances at the second stage. We have also used the On the contrary, for the Adder-Sorter-Multiplier and cm85a circuit,
initializer circuits to fix the mismatch-node problem. In Fig. 10ii, at the EDP reductions are more because they require less Buffer and initializer
third stage, a CT-NOR gate GNr (an inverting logic gate) drives the next circuits, hence less switching activity. The effect can also be seen for
stage CT-homogenous gate Xm1. During DS state, it receives a logic high performance (Table 1), where the pcle circuit shows better performance
carried to the next LE state, preventing the signal transition from 0 to 1, than CMOS circuits. For example, the Crosstalk Adder-Multiplier-Sorter,
leading to logic failure. This issue is resolved by using an initializer. An cm85a, and pcle circuits show 11%, 10%, and 53% improvement in
ILI circuit is placed between inverting and non-inverting gate interfaces. performance, respectively.
During the DS state, though the NOR (GNr) output is 1, the ILI circuit For proof of concepts, we have realized the Crosstalk computing el­
(GI1) clamps the corresponding input aggressor to 0. The input signal is ements using MOSCAP available at TSMC 65 nm PDK. However, we
passed through the ILI; thus, if logic evaluates to 1, the signal transitions need Crosstalk-specific process innovations to realize the Crosstalk cir­
from 0 to 1. Another issue that few CT circuits face is insufficient cuits efficiently. For that, we propose capacitance engineering between
aggressor coupling strength to induce sufficient voltage to trip the input and output for Crosstalk circuits and should be placed in 3-D in
inverter. upper metal layers as shown in Fig. 1A. Depending on logic, the value
For example, in the case of the CT-OR3 gate, the output needs to go can range from 400 aF to a few fFs (calculated at 16 nm node). We
high even when any one of the inputs is one. That means a single input propose a 3-D organization to maximize density gains, where transistors

69
N.K. Macha et al. Integration 84 (2022) 62–71

Table 1
Benchmarking results at 7 nm.
27
MCNC Benchmark Circuits I/O Transistor Count Energy Delay Product (EDP) (x10− J s) Performance (ps)

CMOS Crosstalk CMOS Crosstalk %Reduction CMOS Crosstalk %Reduction CMOS Crosstalk %Reduction

Adder-Sorter-Multiplier 4/4 4/4 408 155 25 61.27 18.06 70.50 61.50 54.4 11.56
Cm85a 11/3 11/3 168 69 59.00 26.99 10.37 61.60 21.10 18.93 10.28
Mux 21/1 21/1 506 190 62.45 6.55 4.86 25.80 9.43 12.75 − 35.2
Pcle 19/9 19/9 328 252 23.17 6.51 5.50 91.60 15.04 7.07 53.00

are positioned in the bottom layer for control, and metals and engi­ [9] P. Sheridan, W. Lu, Memristors and memristive devices for neuromorphic
computing, in: A. Adamatzky, L. Chua (Eds.), Handbook of Memristor Networks,
neered capacitances are positioned in the 2nd Metal layer for
Springer, Cham, Switzerland, 2019, pp. 129–149, https://doi.org/10.1007/978-3-
computing. We have done preliminary research and proposed 3-D 319-76375-0_13.
arrangement and choice of materials to obtain the required capaci­ [10] W. Ma, M.A. Zidan, W.D. Lu, Neuromorphic computing with memristive devices,
tance. Our initial investigations revealed we could achieve the capaci­ Sci. China Inf. Sci. 61 (6) (Jun. 2018).
[11] J.J. Yang, D.B. Strukov, D.R. Stewart, Memristive devices for computing, Nat.
tances required for Crosstalk circuits in a small footprint by 3-D device Nanotechnol. 8 (1) (2013) 13–24.
and material innovations. [12] D. Marković, A. Mizrahi, D. Querlioz, J. Grollier, Physics for neuromorphic
computing, Nat. Rev. Phys. 2 (Jul. 2020) 499–510.
[13] S. Kvatinsky, PhD. dissertation, Memristor-Based Circuits and Architectures,
8. Conclusion Department of Electrical Engineering, Israel Institute of Technology, Israel, May
2014.
This paper presents different circuit styles utilizing the Crosstalk [14] S.N. Truong, K. Van Pham, W. Yang, K. Min, Memristor circuits and systems for
future computing and bio-inspired information processing, in: 2016 IEEE
Computing technique for large-scale design implementation. We have Biomedical Circuits and Systems Conference (BioCAS), 2016, pp. 456–459, https://
also identified the cascading issues face by Crosstalk computing and doi.org/10.1109/BioCAS.2016.7833830.
provided a lists solution to address those issues. Using the circuit [15] C. Sung, H. Hwang, I.K. Yoo, Perspective: a review on memristive hardware for
neuromorphic computation, J. Appl. Phys. 124 (15) (Oct. 2018).
implement techniques discussed in this paper, we have also imple­ [16] Trailokya Nath Sasamal, Ashutosh Kumar Singh, Anand Mohan, Quantum-Dot
mented different large-scale circuits and benchmarked them with their Cellular Automata Based Digital Logic Circuits: A Design Perspective, Springer,
CMOS counterparts. Our benchmark results show promises for large- Singapore, 2020.
[17] K.K. Likharev, Single-electron devices and their applications, Proc. IEEE 87 (4)
scale design implementation. These results and framework for large- (April 1999) 606–632, https://doi.org/10.1109/5.752518.
scale circuit design can pave the way for the future adoption of Cross­ [18] David Carlton, Nanomagnetic Logic," Ph.D. Dissertation, University of California at
talk computing technology. Berkeley, February 2012.
[19] Zhaoxin Liang, Design, Simulation, and Optimization of Spintronic Logic Devices,"
Ph.D. Dissertation, The University Of Minnesota, February 2019.
Author contribution [20] F. Jazaeri, A. Beckers, A. Tajalli, J. Sallese, A review on quantum computing: from
qubits to front-end electronics and cryogenic MOSFET physics, in: 2019 MIXDES -
26th International Conference of Mixed Design of Integrated Circuits and Systems,
Naveen Kumar Macha: Conceptualization, Methodology, Formal Rzeszów, Poland, 2019, pp. 15–25, https://doi.org/10.23919/
analysis, Validation, Visualization, Writing – review & editing. Md Arif MIXDES.2019.8787164.
Iqbal: Conceptualization, Formal analysis, Investigation, Validation, [21] N.K. Macha, V. Chitturi, R. Vijjapuram, M.A. Iqbal, S. Hussain, M. Rahman, A new
concept for computing using interconnect crosstalks, in: 2017 IEEE International
Data curation, Writing – original draft Bhavana Tejaswini Repalle:
Conference on Rebooting Computing (ICRC), 2017, pp. 1–2, https://doi.org/
Simulation, Validation, Visualization, Writing – review & editing Mos­ 10.1109/ICRC.2017.8123636.
tafizur Rahman: contributed to Conceptualization, Project administra­ [22] N.K. Macha, S. Geedipally, B.T. Repalle, M.A. Iqbal, W. Danesh, M. Rahman,
tion, and Supervision, Writing – review & editing. Crosstalk based fine-grained reconfiguration techniques for polymorphic circuits,
in: 2018 IEEE/ACM International Symposium on Nanoscale Architectures,
NANOARCH), 2018, pp. 114–120.
[23] M.A. Iqbal, N.K. Macha, B.T. Repalle, M. Rahman, From 180nm to 7nm: crosstalk
Declaration of competing interest
computing scalability study, in: 2019 IEEE SOI-3d-Subthreshold Microelectronics
Technology Unified Conference, S3S), 2019, pp. 1–2, https://doi.org/10.1109/
The authors declare that they have no known competing financial S3S46989.2019.9320742.
interests or personal relationships that could have appeared to influence [24] M.A. Iqbal, N. Kumar Macha, B.T. Repalle, M. Rahman, A logic simplification
approach for very large scale crosstalk circuit designs, in: 2019 IEEE/ACM
the work reported in this paper. International Symposium on Nanoscale Architectures, NANOARCH), 2019,
pp. 1–6, https://doi.org/10.1109/NANOARCH47378.2019.181306.
[25] M.A. Iqbal, N.K. Macha, B.T. Repalle, M. Rahman, Designing crosstalk circuits at
References
7nm, in: 2019 IEEE International Conference on Rebooting Computing (ICRC),
2019, pp. 1–4, https://doi.org/10.1109/ICRC.2019.8914701.
[1] G.E. Moore, Cramming more components onto integrated circuits, Reprinted from [26] N.K. Machal, B.T. Repalle, S. Geedipally, R. Rios, M. Rahman, A new paradigm for
Electronics, volume 38, number 8, April 19, 1965, pp.114 ff, in: IEEE Solid-State fault-tolerant computing with interconnect crosstalks, in: 2018 IEEE International
Circuits Society Newsletter, vol. 11, Sept. 2006, pp. 33–35, https://doi.org/ Conference on Rebooting Computing (ICRC), 2018, pp. 1–6, https://doi.org/
10.1109/N-SSC.2006.4785860, 3. 10.1109/ICRC.2018.8638601.
[2] J. Cong, Z. Pan, Interconnect performance estimation models for design planning, [27] S. Yang, Logic synthesis and optimization benchmarks user guide: version 3.0, in:
IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 20 (6) (June 2001) 739–752, Microelectronics Center of North Carolina (MCNC), North Carolina, 1991 [Online].
https://doi.org/10.1109/43.924827. Available: https://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.49.591
[3] J.A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S.J. Souri, K. Banerjee, K. &rep=rep1&type=pdf.
C. Saraswat, A. Rahman, R. Reif, J.D. Meindl, Interconnect limits on gigascale [28] N.K. Macha, B.T. Repalle, M.A. Iqbal, M. Rahman, A new computing paradigm
integration (GSI) in the 21st century, Proc. IEEE 89 (3) (March 2001) 305–324, leveraging interconnect noise for digital electronics under extreme environments,
https://doi.org/10.1109/5.915376. in: 2019 IEEE Aerospace Conference, 2019, pp. 1–8, https://doi.org/10.1109/
[4] Y.-B. Kim, Challenges for nanoscale MOSFETs and emerging nanoelectronics, AERO.2019.8741746.
Transactions on Electrical and Electronic Materials 11 (3) (Jun. 2010) 93–105. [35] N.K. Macha, M.A. Iqbal, B.T. Repalle, S. Hossain, M. Rahman, Crosstalk noise based
[5] P.J. Wright, K.C. Saraswat, Thickness limitations of SiO/sub 2/gate dielectrics for configurable computing: a new paradigm for digital electronics, in: IEEE
MOS ULSI, IEEE Trans. Electron. Dev. 37 (8) (Aug. 1990) 1884–1892, https://doi. International System-On-Chip Conference (SOCC), 2021 (Accepted) Preprint
org/10.1109/16.57140. available: https://computing-lab.com/wp-content/uploads/2021/04/Crosstalk
[6] Lihui Wang, Quantum Mechanical Effects on MOSFET Scaling Limit", Ph.D. _Socc.pdf.
Dissertation, Georgia Institute of Technology, August 2006. [36] Alexandre Ménard, Ivan Ostojic, Mark Patel, and Daniel Volz, McKinsey, "A game
[7] V.K. Khanna, Short-channel effects in MOSFETs, in: Integrated Nanoelectronics. plan for quantum computing," Accessed on: October 8, 2020. Available:
NanoScience and Technology, Springer, New Delhi, 2016. https://www.mckinsey.com/~/media/McKinsey/Business%20Functions/McKin
[8] D.S. Jeong, K.M. Kim, S. Kim, B.J. Choi, C.S. Hwang, Memristors for energy-
efficient new computing paradigms, Adv. Electron. Mater. 2 (2016) 1600090.

70
N.K. Macha et al. Integration 84 (2022) 62–71

sey%20Digital/Our%20Insights/A%20game%20plan%20for%20quantum%20com [41] B. Srinivasu, K. Sridharan, A synthesis methodology for ternary logic circuits in
puting/A-game-plan-for-quantum-computing-v3.pdf ([Online]). emerging device technologies, in: IEEE Transactions on Circuits and Systems I:
[37] Y. Kim, Challenges for nanoscale MOSFETs and emerging nano electronics, in Regular Papers, vol. 64, Aug. 2017, pp. 2146–2159, https://doi.org/10.1109/
Transactions on Electrical and Electronic Materials 11 (3) (2010) 93–105, https:// TCSI.2017.2686446, 8.
doi.org/10.4313/TEEM.2010.11.3.093. [42] N.K. Macha, P. Samant, M. Rahman, Crosstalk logic circuits with built-in memory,
[38] M.I. Dyakonov, When will we have a quantum computer? Solid State Electron. 155 in: 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2021,
(2019) 4–6, https://doi.org/10.1016/j.sse.2019.03.004. ISSN 0038-1101. pp. 79–83, https://doi.org/10.1109/ISVLSI51109.2021.00025.
[39] F. Arute, K. Arya, R. Babbush, et al., Quantum supremacy using a programmable [43] L.T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy,
superconducting processor, Nature 574 (2019) 505–510, https://doi.org/10.1038/ G. Yeric, ASAP7: a 7-nm finFET predictive process design kit, Microelectron. J. 53
s41586-019-1666-5. (Apr. 2016) 105–115, https://doi.org/10.1016/j.mejo.2016.04.006.
[40] F. Jazaeri, A. Beckers, A. Tajalli, J. Sallese, A review on quantum computing: from [44] B. Repalle, Design and Practical Realization of Polymorphic Crosstalk Circuits
qubits to front-end electronics and cryogenic MOSFET physics, in: 2019 MIXDES - Using 65nm TSMC PDK,” Master’s Thesis, Dept. of Computer and Electrical
26th International Conference "Mixed Design of Integrated Circuits and Systems, Engineering, University of Missouri Kansas City, 2019 [Online]. Available: https
Rzeszów, Poland, 2019, pp. 15–25, https://doi.org/10.23919/ ://hdl.handle.net/10355/71048.
MIXDES.2019.8787164.

71

You might also like