You are on page 1of 9

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/325386361

Modelling of Interbranch Coupled 1:2 Tree Microstrip Interconnect

Article  in  Applied Computational Electromagnetics Society Journal · March 2018

CITATIONS READS

0 10

1 author:

Blaise Ravelo
Grande École d'Ingénieurs Consulaire
176 PUBLICATIONS   813 CITATIONS   

SEE PROFILE

Some of the authors of this publication are also working on these related projects:

CareStore View project

Time domain EMC View project

All content following this page was uploaded by Blaise Ravelo on 26 May 2018.

The user has requested enhancement of the downloaded file.


ACES JOURNAL, Vol. 33, No. 3, March 2018 285

Modelling of Interbranch Coupled 1:2 Tree Microstrip Interconnect

B. Ravelo 1, A. Normand 2, and F. Vurpillot 2


1
Normandy University, UNIROUEN, ESIGELEC, IRSEEM EA 4353
Technopole du Madrillet, Avenue Galilée, BP 10024, F-76801 Saint Etienne du Rouvray, France
2
Normandy University UNIROUEN, GPM UMR 6643, F-76000 Rouen, France
ravelo@esigelec.fr

Abstract ─ A computational method of unbalanced transfer function by Elmore in 1948 [5]. The initial
single-input two-output (1:2) interconnect with coupled computational model is elaborated with RC-network.
interbranch is introduced. The circuit theory is built It presents advantages in terms of simplicity and its
with the topology constituted by the octopole Z-matrix possibility for fast delay estimation of different paths
represented by the coupled lines. The voltage transfer of integrated system. However, the Elmore model
functions (VTFs) and the input impedance of the accuracy is drastically decreasing when the signal speed
input-output electrical path of the unbalanced 1:2 tree is increased. Therefore, this computation method is
with interbranch coupled lines are established. The limited to simple interconnect networks. Therefore,
computation model is verified with proofs of concept further improvement is required to increase the
(POC) constituted by unbalanced 1:2 tree microstrip accuracy. In late 1970s, improved RC network model
structure with and without interbranch coupled was introduced by Wyatt [6-7]. In 1980s, the improved
phenomenon. The POCs are loaded by resistors and model was widely used. Significant performance of the
capacitors. Good agreements between the simulated signal delay modelling for the typically linear RC-
and modelled VTFs and the overall structure input meshes of tree networks was performed [7-8]. In 1990s,
impedances were obtained from 0.1-to-2 GHz. the RC-model was extended to the prediction of the
behaviors of more complex networks as dominant time
Index Terms ─ Circuit theory, coupled lines, microstrip constant MOS VLSI circuit design and manufacturing
interconnect, modelling methodology, transmission line, [9]. The employed computation algorithm for estimating
tree network, the propagation delay times for multi-levels of tree mesh
networks composed of cascaded RC-cells is to sum the
I. INTRODUCTION delays at each node of the circuits. Nevertheless, the
With the increase of the integration density and RC-tree network model is less efficient when the PCB
design complexity, the printed circuit board (PCB) operating signal speed is increased.
performance depends on the interconnect effects [1]. Recently, more accurate second order approximated
The PCB interconnects play important roles during RLC model taking into account the inductance effect
the design and manufacturing. The PCB design with closed form of delay times (propagation, rise/fall
technological roadblocks consist in the interconnect and settling time delays) were developed [10-11]. The
effects modelling. The high-density interconnects cause modelling method allowing to determine the unit step
unintentionally the transmitted signal distortions, response of RLC tree networks from second moments
latency due to the degradation generated by unwanted of the polynomial transfer function was proposed [11].
perturbations. To predict these breakthroughs, intensive More accurate tree model promising to approximate
research works have been performed high speed PCB the over- or under-damped responses and the basic
signal distribution interconnect computational methods characteristics as the second order propagation delay
[2-4]. The PCB interconnect design requires consistent expression were also deployed [11]. Moreover,
transmission line (TL) model. It enables to predict the modelling and simulation techniques of TL networks
signal degradation and unintentional effects. The PCB were suggested [12]. The second order model was also
interconnect computation becomes one of the most employed for estimating the delay associated to non-
attractive research topics of electronic design and monotone time-domain responses of high speed tree
manufacturing [2-4]. Initially, the PCB, packaged interconnect networks [13-14]. The RLC-model was
and integrated circuits (ICs) interconnect network was extended to the modelling of high performance
modeled with first order approximation of the equivalent interconnection structures as on-chip architecture buses,

Submitted On: July 10, 2017


Accepted On: October 4, 2017 1054-4887 © ACES
286 ACES JOURNAL, Vol. 33, No. 3, March 2018

multiphase multiplexer clock system [15] and arbitrary unbalanced 1:2 tree interconnect modelling. It consists
level high-speed digital-analog converters [16]. However, in the transformation of the initial tree network
the accuracy of these models and the computation time introduced in Fig. 1 into the systemic model depicted in
needs to be improved when the tree distribution level Fig. 2. The voltages across the nodes Ma, Mb and Mc
is higher. To face out this limitation, more general are respectively denoted Va=VMa, Vb=VMb and Vc=VMc.
behavioral model for extracting the analytical transfer
function of multi-levels of signal distributed tree
networks was developed in [17-19]. This PCB tree
interconnect network model is fundamentally consisted
of cascaded L-cells and distributed TLs. By using the
transfer matrix theory, the whole network transfer
function was expressed. However, the available model
of PCB tree interconnects does not integrate the Fig. 2. VTF equivalent circuit systemic view: (a) Ha, (b)
electromagnetic (EM) crosstalk and coupling problem Hb, and (c) input impedance Zin.
between the interconnect line branches [20-21]. The
crosstalk affects the system of serial links as in the
mobile terminal emitter [21].
For this reason, the unbalanced tree interconnects
modelling with interbranch EM coupling effect is
developed in this paper. Section II describes the
computational theory of interbranch coupled unbalanced
single-input double-output (1:2) tree. Section III is the
unbalanced 1:2 tree input impedance and the voltage Fig. 3. Equivalent circuit diagram of the unbalanced
transfer functions (VTFs) model validations with tree interconnect including the CTL Z-matrix.
Advanced Design System (ADS®) simulations. Section
IV is the conclusion. The system VTFs and overall input impedance are
defined by:
II. INTERBRANCH COUPLED 1:2 TREE
H b ( j )  VM b ( j ) / VM a ( j )  Vb ( j ) / Va ( j ) , (1)
INTERCONNECTS MODEL
The proposed computational method is aimed to H c ( j )  VM c ( j ) / VM a ( j )  Vc ( j ) / Va ( j ) , (2)
the determination of the input impedance and the Zin ( j)  Va ( j) / I a ( j) , (3)
unbalanced tree interconnect VTFs. In difference with
the existing models, the proposed one integrates the with ω is the angular frequency. The equivalent circuit
coupling phenomenon interbranch of the unbalanced diagram of the unbalanced 1:2 tree can be elaborated by
tree interconnects. In more concrete view, the tree considering the impedance- or Z-matrix of the coupled
under study is composed of elementary TLs configured TL (CTL). The modelling method can be established
as depicted in Fig. 1. from the equivalent circuit diagram presented in Fig. 3.
The unbalanced tree structure can be transformed as
an electrical network mainly constituted by elementary
TLs. We assume that the input is connected the TL
TLMaM0 characterized by TL(Za(jω),γa(jω)). TLM0Mb and
TLM0Mc, respectively characterized by TL(Zb(jω),γb(jω))
and TL(Zc(jω),γc(jω)) constitute the output branches.
With ξ={a,b,c}, Zξ(jω) is the characteristic impedance
and γξ(jω)=αξ(ω)+jβξ(ω) is the propagation constant (ω
Fig. 1. Unbalanced tree interconnect structure. is the angular frequency, αξ is the attenuation constant,
βξ phase constant associated to the electrical length
The electrical network is constituted by three θξ=βξdξ). These output networks can be represented
branches TLMaM0, TLM0Mb and TLM0Mc. The characteristic by the coupled TL matrix [Z]CTL and the output TL
impedances and physical lengths are respectively TL(Zb(jω),Δγ(jω)) associated to the electrical length Δθ.
(Za,da), (Zb,db) and (Zc,dc) by supposing that db > dc. This CTL structure is assumed as an octopole with
Moreover, the output branches TLM0Mb and TLM0Mc are Ports  and  interconnected, and Ports  and  are
implicitly with EM coupling phenomenon. connected to output loads Rb and Rc. Each access port m
(m={1,2,3,4}) is traversed by branch currents Im.
A. Equivalent circuit topology Let us denote C the coupling coefficient between
The posed problem can be traduced by the the coupled lines connecting Ports  and , and Ports
RAVELO, NORMAND, VURPILLOT: MODELLING OF INTERBRANCH COUPLED 1:2 TREE MICROSTRIP INTERCONNECT 287

 and  and Z0(jω) is the characteristic impedance analytically equivalent to the TL connecting the node
of each elementary line. According to the TL theory, Ma-Port , and Port -node Mb are respectively
the even- and odd-characteristic impedances of the defined as [17-18]:
associated coupled lines constituting the unbalanced 1:2  A B   cosh( a ) Za sinh( a )
Ta    a a    , (6)
cosh( a ) 
tree is defined as Ze=Z0[(1+C)/(1-C)]0.5 and Zo=Z0[(1-
C)/(1+C)]0.5. The lengths of the input and output Ca Da  sinh( a ) / Za
TLs can be characterized by the resonance angular  A B   cosh(  ) Z b0 sinh(  ) 
frequencies  which are linked to the physical length by Tb    b b     . (7)
Cb Db  sinh(  ) / Z b0 cosh(  ) 
the relation dξ=2πv/ωξ with ξ={a,b,c} and the wave speed
v. Moreover, the physical length difference Δd=db-dc
between the TLM0Mb and TLM0Mc corresponding to the
quarter wavelengths λb/4 and λc/4 is also equivalent
to the electrical length analogue to the overlength
TL connecting Port  and node Mb. The equivalent
electrical length is associated to Δd. It is analytically
equal to   b d  b (d b  d c ) . It implies the relation
Fig. 4. Reduced two-port equivalent network of the
  (c  b ) / (2b  c ) . The associated input circuit introduced in Fig. 2.
impedance, which is spontaneously related to the
TL characteristic impedance Z0(jω), is given by The abstracted topology equivalent to the
Z ( j )  Z 0 ( j ) / tanh   ( j )  . unbalanced 1:2 tree structure under study can be
represented as highlighted in Fig. 4. This topology
B. Z-Matrix of the coupled output branches and enables to realize the theorization of the problem with
access line ABCD or transfer matrices the analogue mathematical concept. The branch currents
The adopted methodology to solve the posed-  I1 , I 2 , I 3 , I 4 , I a , I b  are assumed as the unknown
problem is fundamentally based on the calculation of variables which must be expressed in function of the
the branch currents. The Z-matrix of the tree coupled input excitation source Va. Meanwhile, the problem
branches and the access line ABCD matrices must be solution can be reformulated as the calculation of the
expressed in function of the interconnect structure tree branch currents Ia, Ia’, I1, I2, I3, I4 and Ib. The
parameters. The four-port CTL structure constituting algebraic solution can be determined from linear
the unbalanced 1:2 tree can be represented by the equations derived via the combination of the impedance-
equivalent 4×4 Z-matrix analytically expressed as: and ABCD-matrices in (4), (6) and (7), and the Ohm’s
 Z11 Z12 Z13 Z14  laws applied to the output loads Zb and Zc:
Z Z 22 Z 23 Z 24  Vb   Zb  I b , (8)
 Z    21 . (4) V4   Z c  I 4 . (9)
 Z 31 Z 32 Z 33 Z 34 
  Moreover, at the junction node M0, we have the relation
 Z 41 Z 42 Z 43 Z 44  Ia’=I1+I2 and V1=V2. By taking this condition into
By denoting α the attenuation constant and d the account, the following synthetic equation system can be
physical length, based on the microwave theory and due deduced from the access line ABCD matrices associated
to the symmetry, the matrix elements are defined as: to (8) and (9):
 Z e  Zo Va  AaV1  Ba ( I1  I 2 )
 Z11  Z 22  Z 33  Z 44  2 tanh( d  j ) I  C V  D (I  I )
  a a 1 a 1 2
 Z e  Zo  . (10)
 Z12  Z 21  Z 34  Z 43  2 tanh( d  j ) V3  AbVb  Bb I b  ( Ab Z b  Bb ) I b
 I 3  CbVb  Db I b  (Cb Z b  Db ) I b
 , (5)

The voltage and current vectors V   V1 V2 V3 V4  and
 Z13  Z 31  Z 24  Z 42  Z e Z o
 2sinh( d  j )
  I    I1 I 2 I 3 I 4  corresponding to the configuration of
 Z14  Z 41  Z 23  Z 32  Z e  Zo
the coupled lines presented in the circuit diagram of
 2sinh( d  j ) Fig. 3 are linked to the Z-matrix defined in (4) by the
with    / (2c ) . Furthermore, the ABCD matrices equation system:
288 ACES JOURNAL, Vol. 33, No. 3, March 2018

V1  Z11 I1  Z12 I 2  Z13 I 3  Z14 I 4  b  Ba (( Bb  Aa Z b )  ( Db  Cb Z b ) Z c ) 


V  Z I  Z I  Z I  Z I  2  2Zo  
V    Z CPTL  I    1 21 1 22 2 23 3 24 4 .   Aa ( Db  Cb Z b ) Z e
2
 
V3  Z 31 I1  Z 32 I 2  Z 33 I 3  Z 34 I 4 
  
V4  Z 41 I1  Z 42 I 2  Z 43 I 3  Z 44 I 4  
 Aa ( Aa Z b  Bb  ( Db  Cb Z b ) Z c ) Z e2

(11)  b  
.

 1    4 B a ( B b  A Z
a b ) Z c 
  A (4 A Z  B )  (4 B ( D  C Z ) 
  a b b 
C. VTF and input impedances of the tree input-
 a b b a b 
   Aa Db Z c  Aa Cb Z b Z c )  o e
output electrical path Z Z
  
The combination of (10) and (11) implies the 
following synthetic characteristic equation system of  b  Ba ( Bb  Aa Z b )  Z c ( Aa Bb  Ba Db 
the posed-problem mathematical solution knowing the   0  2 Z e Ba  
  ( Aa  Ba Cb ) Z b ))
2
excitation source Va in (12). The main access branch  
currents Ia and Ib can be yielded from the solutions via (16)
the ABCD matrices of TLs TLMaM0 and TLPort-Mb in Similarly, the VTF equivalent to the electrical path
(13). Consequently, the unbalanced 1:2 tree characteristic MaMc can be written as:
matrix derived from (12) and (13) can be written in 2 Z c Z e 1  x 2  Z o x(Cb
(14):
 Z c ( j ) I 4 ( j ) Z b  Db )  Bb  Aa Z b 
Ba Ba Va H c ( j )   . (17)
( Z11  A ) I1  ( Z12  A ) I 2  Z13 I 3  Z14 I 4  A Va ( j )  2b x 2  1b x   0b
 a a a
The overall structure input impedance can be extracted
 Ba Ba Va
( Z 21  ) I1  ( Z 22  ) I 2  Z 23 I 3  Z 24 I 4  from (3).
 A a Aa Aa ,
 A Z  Bb III. VALIDATION RESULTS
 Z 31 I1  Z 32 I 2  ( Z 33  b b ) I 3  Z 34 I 4  0 This section is focused on the validations of the
 Cb Z b  Db developed unbalanced 1:2 model. Two POC of
Z I  Z I  Z I  (Z  Z )I  0
 41 1 42 2 43 3 44 c 4
unbalanced 1:2 trees are designed by considering the
(12) aspects with and without interbranch coupled branches.
The POC modelled computed results are compared
 I a  CaVa  ( Da Aa  Ba )( I1  I 2 ) / Aa ,
 with simulations run in the ADS® environment of the
 (13)
 I b   I 3 / (Cb Z b  Db )
 electronic circuit designer and simulator. AC simulations
are considered by assigning the voltage excitation
 Ba Ba 
 Va   Z11  Z12  Z13 Z14 0 0 source Va with 201 frequency samples from 0.1 GHz to
A   Aa Aa 2 GHz.

 a   Ba Ba  I 
 Va   Z 21  Z 22  Z 23 Z 24 0 0   1  A. Description of the POC
A   Aa Aa I
 2 The POC represented in 3D view in Figs. 5 are
 a   Ab Z b  Bb   I3  .
0    Z 31 Z 32 Z 33  Z 34 0 0   microstrip passive distributed circuits which are
   Cb Z b  Db   I4  interconnect passive structures of unbalanced 1:2 tree
0  Z Z 42 Z 43 Z 44  Z c 0 0   Ia  networks. The arbitrarily chosen structures with and
 Ca   41   without output interbranch coupling are respectively
 Va   Ba Ba   I b 
 Aa   A  Da Aa
 Da 0 0 1 0

shown in Fig. 5 (a) and Fig. 5 (b).
0   a  These microstrip structures were printed on the
0 0 1 0 0 Cb Z b  Db  dielectric substrate Kapton® polyimide film provided
(14) by DuPont® with characteristics relative permittivity
By taking x  tanh( d  j ) , the VTF through εr=3.3, loss tangent tan(δ)= 0.008, thickness h=125 µm,
conductivity σ=58 MS/m and thickness t=17 µm. Both
the 1:2 tree electrical path MaMb can be expressed as:
sides of the film were laminated with copper layer,
2 Z e Z b ( Z c  xZ o ) forming the ground plane for the unbalanced 1:2
Z b ( j ) I b ( j ) 1  x2 tree interconnects circuit, and the layer on which the
H b ( j )   b 2 , (15) circuit was patterned respectively. Knowing the POC
Va ( j )  2 x  1b x   0b characteristics, the demonstrator circuit was designed
where with elementary lines presenting arbitrary physical
RAVELO, NORMAND, VURPILLOT: MODELLING OF INTERBRANCH COUPLED 1:2 TREE MICROSTRIP INTERCONNECT 289

parameters. The POCs with and without interbranch physical width of the microstrip structure which can
coupling presents physical sizes are respectively be fabricated with the equipment available in our
28 mm×75 mm and 122 mm×29 mm. The POC structures laboratory is limited to 300 µm. For this reason, the
are excited by the AC voltage source Va and loaded by POC fabricated prototypes are not available for the
Zb and Zc connected at the output nodes Mb and Mc. present study. The branch currents were computed.
Acting as an AC or frequency analysis, the input
voltage source was fixed equal to constant Va=1 V for C. Applications with resistive loaded unbalanced
the discrete frequency f varied from 0.1-to-2 GHz with tree
201 frequency samples. In this case, the impedance loads are assigned as
lumped resistors with nominal values Zb=50 Ω and
Zc=100 Ω. The VTF magnitudes |Ha| and |Hb| are
respectively displayed in Fig. 6 (a) and Fig. 6 (b).
These results illustrate the relevance and effective
of the developed model for the interbranch coupling
phenomenon prediction. Without coupling, more
accentuated resonance effects are observed at the
terminal Ma and Mb. The interbranch coupling effects
can be predicted by the proposed computation method
in good agreement with the simulations from very low
frequencies to 2 GHz. The same remark is found with
the input impedance magnitude |Zin| of the overall
structure plotted in Fig. 7. The VTF model accuracy
presents error absolute maximal value of about 1 dB.
The highest absolute differences between the simulations
and modelled results are reasonably appeared around
the resonance frequencies. The main difference between
the model and the reference simulations are caused by
the characteristics of the elementary TLs constituting
the unbalanced tree structure. Furthermore, these
discrepancies increase at higher frequencies. Such
effects are mainly due to the influence of the frequency
Fig. 5. 3D design of the POC asymmetrical 1:2-tree on the TL EM and electrical parameters as the skin
microstrip structure: (a) with and (b) without output depth effect and the substrate dispersion.
interbranch coupling.

B. POC circuit physical, electrical and EM


characteristics
The POC were designed with arbitrary parameters
which prove the influence of the interbranch coupling
on the two VTFs. The microstrip line effective
permittivity and characteristic impedances were
extracted based on the microstrip TL theory. The
coupled branch parameters (C=-10 dB, Ze=69.37 Ω,
Zo=36.04 Ω, s=40 µm) were extracted. The modelling
and simulations were performed with these different
parameters. However, the entire proposed model
computed results were realized with Matlab programming.
During the calculations, the ideal parameters were
supposed independent to the frequency and the TL losses
were neglected. The equivalent model was developed
by assuming the TLMaM0(wa=227 µm, da=23.7 mm,
Za=50 Ω) with the frequency quarter wavelength fa=2 GHz
and the coupled lines TLM0Mb(wb=223 µm, db=73 mm,
Zb=56.5 Ω) and TLM0Mc(wc=223 µm, dc=49 mm, Fig. 6. Comparison of modelled and simulated resistive
Zc=56.5 Ω) are defined with the frequency quarter loaded tree interconnect VTF magnitudes: (a) |Hb| and
wavelengths fb=1 GHz and Δf=2 GHz. The minimal (b) |Hc|.
290 ACES JOURNAL, Vol. 33, No. 3, March 2018

Fig. 7. Comparison of the modelled and simulated


resistive loaded tree interconnect input impedance
magnitude |Zin|.

These computation errors are also added to the


numerical computation inaccuracies.
To generate the modelled computed results with
the assigned samples, the computation speed was less Fig. 8. Comparison of the modelled and simulated
than one millisecond by using a PC equipped a single- capacitive loaded tree interconnect VTF magnitudes:
core processor Intel® CoreTM i3-3120M CPU @ 2.50 (a) |Hb| and (b) |Hc|.
GHz and 8 GB physical RAM with 64-bits Windows 7.

D. Applications with capacitive loaded unbalanced


tree
In this case, the impedance loads are constituted by
arbitrary chosen lumped resistor Zb=50 Ω and capacitor
Zc=1 pF. The frequency simulations were carried out
with the unbalanced 1:2 tree structure by sweeping the
AC source Va frequency. Then, comparison between
the simulations and computed models is realized. The
obtained VTF magnitudes |Ha| and |Hb| are respectively
displayed in Fig. 8 (a) and Fig. 8 (b). Once again,
without coupling, the resonance effects are occurred
slightly at lower frequencies.The simulated and modelled
VTFs are in good agreement for the different types of Fig. 9. Comparison of the modelled and simulated
output loads Zb and Zc. A notable well-correlated capacitive loaded tree interconnect input impedance
behavior of the VTFs versus frequency is observed magnitude |Zin|.
with simulations and the developed modelling methods
in the considered broadband frequency band. The IV. CONCLUSION
comparison between the associated input impedances A circuit theory on 1:2 tree interconnects with
magnitude |Zin| can be seen in Fig. 9. Similar to the interbranch coupling is established. The model is built
previous case, the interbranch coupling influences with the combination of the coupled lines octopole
obviously, the unbalanced tree frequency responses impedance matrix and the access line ABCD matrices.
notably when the frequency is higher than 0.5 GHz. The equivalent topology enables to traduce the system
Despite the coherent behavior between the modeled and into the problem mathematical abstraction. The VTF of
simulated results, numerical discrepancies appear around the tree input-output electrical path and the overall
the resonance frequency situated between 0.5 GHz and circuit input impedance are established. Two POCs
1 GHz. constituted by unbalanced 1:2 tree with and without
This noteworthy deviation is mainly due to the interbranch coupling are designed. The modeled and
approximation of the TL electrical and EM characteristics simulated tree input-output VTFs and also the input
which are assumed to be independent to the frequency impedance are compared via AC simulations from 0.1-
during the computation process. to-2 GHz. Good agreements between simulations and
RAVELO, NORMAND, VURPILLOT: MODELLING OF INTERBRANCH COUPLED 1:2 TREE MICROSTRIP INTERCONNECT 291

the models are observed. The proposed computation on lossy transmission lines,” IBM J. Res. Develop.,
method is more efficient in terms of precision with the vol. 34, no. 4, pp. 601-615, July 1990.
EM coupling influence compared to the methods [13] J. Cong, L. He, C. K. Koh, and P. H. Madden,
available in [17-19] which are dedicated to the linear “Performance optimization of VLSI interconnect
tree VTF modelling. layout,” Integration VLSI J., vol. 21, no. 1-2, pp.
1-94, Nov. 1996.
ACKNOWLEDGMENT [14] L. Xiao-Chun, M. Jun-Fa, and T. Min, “High-
Acknowledgement is made to the Normandy Region speed clock tree simulation method based on
for the BRIDGE project support of this research work moment matching,” Proc. of Prog. In Electro-
through the FEDER fund. magnetics Research Symposium (PIERS) 2005,
Hangzhou, China, vol. 1, no. 2, pp. 142-146, 22-
REFERENCES 26 Aug. 2005.
[1] C. Schuster and W. Fichtner, “Parasitic modes on [15] L. Hungwen, S. Chauchin, and L. J. Chien-Nan,
printed circuit boards and their effects on EMC “A tree-topology multiplexer for multiphase clock
and signal integrity,” IEEE Trans. EMC, vol. 43, system,” IEEE Trans. CAS I: Regular Papers, vol.
no. 4, pp. 416-425, Nov. 2001. 56, no. 1, pp. 124-131, Feb. 2009.
[2] H. Husby, “High Density Interconnect,” White Paper, [16] N. Rakuljic and I. Galton, “Tree-structured DEM
Data Response. Available Online [2016]. http:// DACs with arbitrary numbers of levels,” IEEE
www.datarespons.com/high-density-interconnect/ Trans. CAS I: Regular Papers, vol. 52, no. 2, pp.
[3] B. Archambeault and A. E. Ruehli, “Analysis of 313-322, Feb. 2010.
power/ground-plane EMI decoupling performance [17] B. Ravelo, “Behavioral model of symmetrical
using the partial-element equivalent circuit tech- multi-level T-tree interconnects,” Prog. In Electro-
nique,” IEEE Trans. EMC, vol. 43, no. 4, pp. 437- magnetics Research B, vol. 41, pp. 23-50, 2012.
445, Nov. 2001. [18] B. Ravelo, “Modelling of asymmetrical interconnect
[4] K. M. C. Branch, J. Morsey, A. C. Cangellaris and T-tree laminated on flexible substrate,” Eur. Phys.
A. E. Ruehli, “Physically consistent transmission J. Appl. Phys., vol. 72, no. 2 (20103), pp. 1-9,
line models for high-speed interconnects in lossy Nov. 2015.
dielectrics,” IEEE Trans. Advanced Packaging, [19] B. Ravelo and O. Maurice, “Kron-Branin
vol. 25, no. 2, pp. 129-35, Aug. 2002. modelling of Y-Y-tree interconnects for the PCB
[5] W. C. Elmore, “The transient response of damped signal integrity analysis,” IEEE Trans. EMC, vol.
linear networks,” J. Appl. Phys., vol. 19, pp. 55- 59, no. 2, pp. 411-419, Apr. 2017.
63, Jan. 1948. [20] D. S. Gao, A. T. Yang, and S. M. Kang, “Modeling
[6] L. Wyatt, Circuit Analysis, Simulation and Design. and simulation of interconnection delays and
North-Holland. The Netherlands: Elsiever Science, crosstalks in high-speed integrated circuits,” IEEE
1978. Trans. CAS I, vol. 37, no. 1, pp. 1-9, Jan. 1990.
[7] D. Standley and J. L. Wyatt, Jr., “Improved Signal [21] M. Voutilainen, M. Rouvala, P. Kotiranta, and T.
Delay Bounds for RC Tree Networks,” VLSI Rauner, “Multi-gigabit serial link emissions and
Memo, No. 86-317, MIT, Cambridge, MAS mobile terminal antenna interference,” Proc of
(USA), May 1986. 13th IEEE Workshop on SPI, Strasbourg, France,
[8] J. Rubinstein, P. Penfield, Jr., and M. A. Horowitz, pp. 1-4, May 2009.
“Signal delay in RC tree networks,” IEEE Trans.
CAD, vol. 2, no. 3, pp. 202-211, July 1983.
[9] L. Vandenberghe, S. Boyd, and A. El Gamal,
“Optimizing dominant time constant in RC circuits,”
IEEE Trans CAD, vol. 17, no. 2, pp. 110-125, B. Ravelo holds his Ph.D. degree in 2008 from Univ.
Feb. 1998. Brest and his HDR in 2012 from Univ. Rouen. He is
[10] A. B. Kahng and S. Muddu, “An analytical delay currently Associate Professor at ESIGELEC/IRSEEM
model of RLC interconnects,” IEEE Trans. CAD, in Rouen (France). His research interests cover the
vol. 16, pp. 1507-1514, Dec. 1997. microwave circuit design, EMC, and signal/power
[11] A. Ligocka and W. Bandurski, “Effect of integrity (SI/PI) engineering. He is a pioneer of the
inductance on interconnect propagation delay in negative group delay (NGD) concept for RF/analog and
VLSI circuits,” Proc. of 8th Workshop on SPI, digital circuits and systems. He is (co)-author of more
Heidelberg, Germany, pp. 121-124, 9-12 May than 200 national/international papers. His current
2004. publication h-index is 15 (Reference: Google Scholar
[12] A. Deutsch, et al., “High-speed signal propagation 2017).
292 ACES JOURNAL, Vol. 33, No. 3, March 2018

A. Normand is born in Normandy (France), where he master's degree in Scientific Instrumentation from the
is confronted with his first experiment in scientific Univ. Rouen in 2007, he integrated, as an Instrumental
instrumentation. He joined the laboratory GPM UMR Design Engineer, the ERIS Research Team to develop
6634 where he had the opportunity to develop several the SAT and its correlative techniques.
technical aspects of the tomographic atom probe (SAT).
In 2005, he has worked for few months in the F. Vurpillot is currently a Professor at GPM UMR
Laboratory of Materials Analysis in Göttingen (Germany) 6634, Univ. Rouen. His research interest is on the SAT
to develop a 3D field ion microscope. After receiving scientific instrumentation.

View publication stats

You might also like