You are on page 1of 75

www.zte.com.

cn/magazine/English ISSN 1673-5188


CODEN ZCTOAK

ZTE COMMUNICATIONS
ZTE COMMUNICATIONS

December 2011, Vol.9 No.4

Special Topics:
Advances in Digital Front-End and Software RF Processing: Part Ⅱ
Advances in Mobile Data Communications
VOLUME 9 NUMBER 4 DECEMBER 2011

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P1
Frost & Sullivan Recognizes ZTE as 2011
LTE Vendor of the Year
United States. ZTE is one of the world’ s most competitive
LTE solutions providers.
ZTE’ s influence in the global LTE market has steadily
grown. In first half 2011, the number of new LTE contracts
exceeded the total number of LTE contracts for the whole
of 2010. ZTE has won 28 contracts for LTE commercial
application and has deployed test networks in
conjunction with more than 90 operators worldwide. To
date, more than 100,000 ZTE LTE terminal units have
been ordered worldwide. The terminals, which are
purchased by high-end operators, contribute to the
commercialization of LTE technology.
“ZTE is honored to be recognized by Frost & Sullivan
as a leader in the LTE field,”said Mr. Richard Lihe Ye, the
ZTE Corporation announced on November 10, 2011 senior director of wireless product operation for ZTE
that it has been named LTE Vendor of the Year by leading Corporation.“The award illustrates the company’ s
consulting firm Frost & Sullivan. commitment to the development and commercialization
The Frost & Sullivan LTE Vendor of the Year award is of next-generation technology.”
given to companies that have achieved excellence in ZTE is a leader in developing LTE technologies. To
LTE. ZTE won the award for its growing number of date, ZTE has applied to ETSI for 235 essential patents
secured contracts and also for its patent applications. for LTE standards, accounting for approximately
The company’ s industry leading equipment has entered 7 per cent of the total number of EP applications globally.
high-end markets such as Europe, Japan, and the (ZTE Corporation)

ZTE Becomes Global Leader in CDMA Base


Station Market with 33% Share
ZTE Corporation announced on November 3, 2011 that company has acquired a leading market share in
it has become the global leader in the CDMA base station emerging nations such as, China, Indonesia, and India. It
market, with a 32.6 percent share in first half 2011. also has achieved significant breakthroughs in North
According to a recent IDC analytical report on the America.
global CDMA market, ZTE has increased its shipments of IDC Analyst John Byrne believes that“ZTE is the leader
CDMA base stations steadily in recent years. As of the of the global CDMA market and will be in the strongest
end of first half of 2011, the company’s shipment of position in emerging global markets, as been the case in
base-stations had exceeded 320 thousand units, the global CDMA market. ZTE will continue to create
pushing it to the top spot in the global CDMA base station greater value for operators.”
market. As of the end of first half 2011, ZTE’s CDMA products
ZTE is the first company in the telecom industry to have been put into large-scale commercial use by more
introduce a CDMA/LTE dual-mode system. This than 120 operators in more than 70 countries worldwide.
innovative CDMA/LTE product can assist operators to The company has built more than 80 CDMA2000
smoothly upgrade their existing networks to future 1xEV-DO networks in 60 countries and regions including
networks and faster evolve to LTE systems. This solution, the Czech Republic, India, Indonesia, and the United
along with the company’s EV-DO Rev. B system, is States in the same period. In addition, ZTE has won 23
recognized as industry leading. contracts for commercial application of LTE solutions
The global CDMA market has grown in recent years, from operators including CSL, Telenor, Sonaecom, and
especially in Asia Pacific, where it has expanded rapidly. H3G and has deployed LTE test networks in conjunction
Coupled with a loss in market share by several with more than 80 operators across the globe. To date,
established North American CDMA suppliers, this has ZTE has received orders for more than 100,000 LTE
prompted ZTE to seek new opportunities in CDMA terminals from several leading global operators.
markets across the globe. Through these efforts, the (ZTE Corporation)

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P2
ZTE Establishes Global Consumer
Brand Ambitions in 2011
ZTE Corporation became the fourth largest handset
vendor by market share in 2011 and set out its ambitions
for further growth with a series of high-profile celebrity
sponsorship deals.
According to a Q3 report by ABI research, IDC, and
Strategy Analytics, ZTE overtook Apple to become the
fourth-largest handset manufacturer in the world.
According to the report, ZTE shipped 19.1 million
terminals in Q3 2011, a 57.9 percent increase year on
year. ZTE’ s market share also increased to 4.9 percent,
which closed the gap between ZTE and 3rd place, LG
Electronics. In the first three quarters of 2011, ZTE’ s
revenue from terminal sales increased 53.4 percent year
on year.
ZTE is not resting on its laurels and is looking forward to
2012. Backed by strong financial and business
performance, ZTE will invest more in marketing initiatives
next year to bring its brand recognition in line with its SIM-free Skate terminals, a ticket give-away supported
global market share. by local media, and an integrated Facebook campaign
In April, ZTE announced its smart terminal strategy, on facebook.com/ZTEUK. Professor Green fans had the
part of which included partnering with the Singaporean opportunity to win free tickets to an exclusive London gig
singer/songwriter, Huang Yida, to create the new brand and some very lucky fans to meet the performer
song“Light Your Smart World,”which is also ZTE’ s face-to-face.
smart terminal slogan. Also in October, ZTE announced the exclusive
In May, ZTE unveiled the new Light Pro tablet at the sponsorship of Spanish artist, David Bisbal’ s, tour
Mutua Madrid Open, an ATP World Tour Masters 1000 ‘Acustico’ . The tour started on November 1 with a
event, with support from Spanish PR Agency, special concert in the Teatro Real in Madrid. This
Perception & Image. The device included an application sponsorship package is part of ZTE’ s marketing strategy
specifically designed for the tournament. The application for the launch of ZTE Skate.
provided statistics, player information, and match ZTE also secured exclusive sponsorship of all the
schedules in real time. The world’ s top tennis player, handsets in China’ s top-rated TV series,“Man’ s Gang.”
Carlos Moyà Llompart, was also invited to experience ZTE presents its full series of smartphones and tablets in
ZTE’s smart terminal products. the TV series, strengthening ZTE’ s smart terminal brand
In September, ZTE launched its flagship smartphone, with fashion-conscious youth.
Skate, during Cibeles Madrid Fashion Week. Spanish “ZTE has been involved in a wide range of celebrity
supermodel, Jon Kortajarena, presented ZTE products at and entertainment marketing campaigns over the past 12
the event, further strengthening the company’ s brand. In months, marking 2011 as a watershed year in the
Hong Kong, the Skate launch was endorsed by local pop company’ s transition from white label ODM to fully
star, Kandy Wong with support from Edelman Hong Kong. fledged own-brand player,”said He Shiyou, head of
In October, ZTE, in conjunction with PR agency, ZTE’ s mobile-terminals business.“Next year looks set to
AxiCom, announced an exclusive deal with EMI be even bigger and brighter, as we continue on our
Music/Virgin Records to sponsor leading UK rapper, roadmap to becoming a top-three handset player by the
Professor Green, on an 18 day UK tour. The campaign year 2015.” (ZTE Corporation)
includes an ongoing Twitter competition @ZTE_UK for

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P3
Contents
www.zte.com.cn/magazine/English ISSN 1673-5188
CODEN ZCTOAK

ZTE COMMUNICATIONS
Http://www.zte.com.cn/magazine/English December 2011, Vol.9 No.4

Email: magazine@zte.com.cn Special Topics:


Advances in Digital Front-End and Software RF Processing: Part Ⅱ
Advances in Mobile Data Communications

Editorial Board Members (in Alphabetical Order):


Chairman: Yixin Zhong Anshi Xu, Bo Ai, Bo Hong, Lemin Li, Leping Wei, Lintao Jiang, Xiaohu You, Xiaoming Wang,
Changjia Chen, Chengzhong Xu, Lirong Shi, Luoming Meng, Qin Ni, Xiaoyun Wang, Xing Li, Xiren Xie,
Vice Chairmen: Weigui Hou , Daxiong Xie, Guangxin Yue, Shaoqian Li, Shiduan Cheng, Xisheng Chen, Yimin Yin,
Zhengkun Mi Guo Wei, Heyuan Xu, Hongbin Li, Shixin Cheng, Shiyou He, Yixian Yang, Yixin Zhong,
Houlin Zhao, Hua Jiang, Shuangjin Gong, Shumin Cao, Yongcheng Gu, Yuefeng Ji,
Huiling Zhao, Jiandong Li, Susu Zhou, Tongxu Zhang, Yumin Wang, Yunfei Guo, Zhen
Jianping Chen, Jie Chen, Wanyi Gu, Weigui Hou, Wen Gao, Yang, Zhengkun Mi, Zhenhui Tan,
Jinkang Zhu, Jinyun Chang, Wenguo Tian, Xianming Zhao, Zhenzhou Lei, Zhijiang Zhang

Special Topic: Advances in Digital Front-End and Software RF Processing: Part II


ZTE COMMUNICATIONS
Vol. 9 No.4 (Issue 32)
1 Guest Editorial
Quarterly
3 Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio
First Issue Published in 2003
Front-Ends
Supervised by:
Anhui Science and Technology 10 Design of Software-Defined Down-Conversion and Up-Conversion:
Department An Overview
Sponsored by: 15 Practical Non-Uniform Channelization for Multi-Standard Base Stations
ZTE Corporation and Anhui Science
and Technology Information 25 Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation
Research Institute

Staff Members:
32 An Antenna Diversity Scheme for Digital Front-End with OFDM Technology
Editor-in-chief: Xie Daxiong
35 A Histogram-Based Static-Error Correction Technique for Flash ADCs
Deputy Editor-in-chief: Deng Xin
Executive Deputy
Editor-in-chief: Huang Xinming
Editor in Charge: Zhu Li
Editors: Paul Sleswick, Yang Qinyi, Xu Ye, Special Topic: Advances in Mobile Data Communications
Lu Dan 42 Guest Editorial
Producer: Yu Gang
Circulation Executive: Wang Pingping 43 Enhanced Cell-Edge Performance with Transmit Power-Shaping and
Assistant: Wang Kun Multipoint, Multiflow Techniques
Editorial Correspondence:
Add: 12/F Kaixuan Building, 49 Spatial Load Balancing in Wide-Area Wireless Networks
329 Jinzhai Road,
55 Uplink Power Control for MIMO-OFDMA Cellular Systems
HeFei 230061, P. R. China
Tel: +86-551-5533356
63 Mobile Backhaul Solutions
Fax: +86-551-5850139
Email: magazine@zte.com.cn

Published and Circulated


(Home and Abroad) by: Lecture Series
Editorial Office of
68 The Internet of Things and Ubiquitous Intelligence (4)
ZTE COMMUNICATIONS
Printed by:
Hefei Zhongjian Color Printing Company
Publication Date: December 25, 2011 Departments
Publication Licenses:
ISSN 1673-5188 54 Ad Index
CN 34-1294/TN
Advertising License: Ⅰ Table of Contents for Volume 9, Numbers 1-4, 2011
皖合工商广字 0058 号
Annual Subscription Rate:
USD$50

Responsibility for content rests


on authors of signed articles and
not on the editorial board of
ZTE COMMUNICATIONS or its sponsors.
All rights reserved.

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P4
Guest Editorial

Advances in Digital Front-End and


Software RF Processing: PartⅡ

Jun Fang Fa-Long Luo Mikko Valkama Serioja Ovidiu Tatu Tomohisa Wada

I
n the first editorial of this two-part special issue, we special issue, which is organized into two parts and has been
pointed out that one of the biggest trends in wireless published in two consecutive issues in 2011.
broadband, radar, sonar, and broadcasting technology is The contents of part I is divided into two groups. The first
software RF processing and digital front-end [1]. This group consists of four papers, addressing different aspects of
trend encompasses signal processing algorithms and power amplification (PA) technologies. The second group,
integrated circuit design and includes digital pre-distortion comprising two papers, is devoted to another interesting
(DPD), conversions between digital and analog signals, digital topic: architecture design and test of millimeter wave (60 GHz)
up-conversion (DUC), digital down-conversion (DDC), DC wideband radio transceivers, which are mainly based on a
offset, and I/Q imbalance calibration. Other important related six-port device and related technology. For more details on
topics are peak-to-average power ratio (PAPR) reduction, this part, refer to [1].
crest-factor reduction (CFR), pulse shaping, image rejection, Six papers have been selected for publication in part II, and
digital mixing, delay/gain imbalance compensation, error these papers are organized again into two groups. The first
correction, noise shaping, numerical-controlled oscillator group consists of three papers that focus on theory, design,
(NCO), and various diversity methods. Digital techniques for and implementation of resampling, that is, up-conversion and
RF processing have many advantages over traditional down-conversion. The second group consists of three papers
techniques in terms of power efficiency, cost and area that describe non-uniform channelization of multiplexed
reduction, flexibility, programmability, and reconfigurability. frequency bands, selective subcarrier degradation-based
Digital RF processing is now regarded as key to enabling crest-factor reduction, and an eigenfiltering-based antenna
software-defined radio (SDR) that supports multistandard, diversity scheme.
multimode applications and fast time-to-market The first paper by Mehmood Awan, Yannick Le Moullec,
solutions [2], [3]. Peter Koch, and Fred Harris describes efficient processing
This special issue aims to stimulate and guide the engines in the digital radio front-end. These engines, based
development of new and improved systems for wireless on a polyphase channelizer, perform arbitrary sample-rate
communications and digital broadcasting. It is a timely and changes, frequency selection, and bandwidth control. The
high-quality forum for scientists, engineers, technologists, polyphase filter bank with five different resampling modes is
broadcasters, manufacturers, software developers, and other used as a case study for embedded resampling in digital
professionals to engage in discussion. In this special issue of front-ends. These modes are (i) maximally decimated, (ii)
ZTE Communications published in English, we wish to show under-decimated, (iii) over-decimated, and combined
how the theory is translated into practical technology for all the up- and down-sampling with (iv) single stride length and (v)
relevant standards. Readers are given ideal design multiple stride lengths. These modes can be used to obtain
methodologies to manage a rapidly increasing range of any required rational sampling rate change in an SDR
applications. front-end based on a polyphase channelizer. They can also
Step-by-step information for designing practical systems be used for translation to and from arbitrary center
is included, and theory, principles, algorithms, standards, and frequencies that are unrelated to the output sample rates. In a
implementation are all given comprehensive treatment. future issue, the authors will report on their work analyzing
The call-for-papers for this special issue attracted a good hardware architecture of polyphase channelization.
number of excellent submissions. After two-round reviews, The paper by Yue Zhang, Li-Ke Huang, Carsten Maple and
twelve papers have been selected for publication in this Qing Xuan gives an overview of the concepts, theory, and

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 01

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P5
Guest Editorial

design principles of digital down-conversion and Jacob Wikner, Sayed Masoud Sayedi and Rasoul Dehghani
up-conversion for SDR-based communication and describes a static calibration technique for flash
broadcasting systems. After an introduction to essential analog-to-digital converters (ADC). The calibration
concepts and principles, the paper discusses design issues technique is based on histogram test methods, and estimation
in down-conversion, and super-heterodyne and of the equivalent errors in the flash ADC comparators is done
direct-conversion architectures are presented. Details of the in the digital domain, without any significant changes being
SDR design for up-conversion are described in this paper, made to the ADC comparators. In the trimming process, the
and trade-offs in the design stages for filters, mixers, NCO, reference voltages are adjusted to compensate for static
DAC, and signal processing are discussed in detail. errors. Behavioral-level simulations of a moderate-resolution
In the third paper of the first group, Álvaro Palomo Navarro, 8-bit flash ADC show that, for typical errors, ADC
Rudi Villing, and Ronan Farrell deal with the practical performance is considerably improved by the proposed
implementation of two non-uniform channelization techniques technique. Implementation of the proposed technique will be
for multiplexed frequency bands. These techniques are based discussed in a future issue.
on generalized DFT filter banks (GDFT-FB), which are a major We would like to thank again all authors for their valuable
processing task in the receivers of an SDR system. Filter contributions. We also express our sincere gratitude to all the
bank-based schemes are efficient and flexible; however, the reviewers for their timely and insightful comments on all
use of FIR filters generally leads to high filter orders, and this submitted papers. It is hoped the content of this two-part
is impractical. To overcome this problem, a multistage filtering special issue is informative and useful from various
structure is applied to the GDFT-FB to reduce the number of technological and implementation perspectives.
coefficients. In this approach, the number of filter coefficients
and operations per input sample is less than that in the
References
single-stage approach. These reductions make fixed-point [1] J. Fang, Fa-Long Luo, M. Valkama, S. O. Tatu, and T. Wada,“Advances in digital
implementation using existing FPGA platforms more practical. front-end and software RF processing: Part I,”ZTE Communications, vol. 9, no.3,
In the second group of papers, the first paper by R. Neil pp.1-3, 2011.
[2] Fadhel M. Ghannouchi,“Power amplifier and transmitter architecture for software
Braithwaite deals with crest-factor reduction. Selected data defined radio systems,”IEEE Circ. Syst. Mag., vol. 10, no.4, pp.56-63, 2010.
subcarriers are modified within an OFDM signal to reduce [3] Fa-Long Luo, Digital Front-End in Wireless Communications and Broadcasting:
Circuits and Signal Processing. Cambridge: Cambridge University Press, 2011.
peaks in the time domain, and pilot and null subcarriers
remain unchanged. In this approach, a set of peaks within an
OFDM symbol interval are identified, and data subcarriers
Biographies
whose data element has a positive or negative correlation to
the peak set are selected. For a subcarrier with an outer Jun Fang graduated from Shanghai Jiao Tong University in 1982 and
element and significant positive correlation, a bit error received his Ph.D. from Ecole Nationale Supérieure des
Télécommunications de Paris (ENST Paris) in 1987. He has been an
(reversal) is intentionally introduced in order to move the data
associate professor at Shanghai Jiao Tong University since 1987. He
element to the opposite side of the constellation. Outer worked with Alcatel Space Industries from 1990 to 2001 in several R&D
elements on negatively-correlated subcarriers are increased and management positions. He was senior vice president of Linkair
in magnitude along the real or imaginary axis. Simulations Communications USA from 2001 to 2005 and then worked as digital
described in this paper show that selecting the correct design director with TechnoConcepts from 2005 to 2006. During this time,
subcarriers for bit reversals and outward enhancements he was involved in digital RF-mixed chip projects. Dr. Fang held a senior
reduces the peak-to-average power ratio of the OFDM signal wireless system position in EDA with Cadence USA during 2006. Since
2009, he has been director of the Electronics & Information Technology
to a target value. At the same time, in-band degradation
Center of the Research Institute of Tsinghua University (RITS) and has led
caused by bit error rate (BER) is limited. R&D and industrialization activities in wireless, signal processing, EDA,
In the paper by Fa-Long Luo, Ward Williams, and Bruce and digital TV multimedia. He has also been involved in wireless activities
Gladstone a new antenna diversity system is proposed that with CARITS Inc., a subsidiary of RITS in the U.S. Dr. Fang has written one
uses eigenfiltering for OFDM-based wireless communication book on information theory and coding, and he has contributed to ITU
and digital broadcasting applications. Compared with the publications on satellite systems. He has 10 patents and published many
technical papers. His research interests include SDR, digital RF chip
existing schemes, such as post-FFT, pre-FFT, and
design, and MIMO transmission systems.
polyphase-based filter-banks, the proposed scheme
performs optimally and has very low computational Fa-Long Luo is chief scientist at two leading international companies
complexity. The adaptive algorithm for updating the headquartered in Silicon Valley, CA, that deal with SDR and wireless
eigenfiltering coefficients has the same complexity as the LMS multimedia. He has been the editor-in-chief of the International Journal of
algorithm. The proposed scheme offers a better compromise Digital Multimedia Broadcasting since 2007. Dr. Luo is currently chairman
between performance, power consumption, and complexity in of the IEEE Industry DSP Standing Committee and technical board
member of the IEEE Signal Processing Society. He has 28 years of
the real-time implementation of receivers in broadband
research and industrial experience in multimedia, communication and
communication and digital broadcasting systems. broadcasting with real-time implementation, applications, and
The last paper in this special issue deals with standardization. He has received worldwide recognition. Dr. Luo has
analog-to-digital conversion and describes a histogram authored and edited four books, more than 100 technical papers, and 18
approach to error correction. The paper by Armin Jalili, J. patents on these and closely related fields. ➡To P.14

02 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P6
Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends Special Topic
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

Polyphase Filter Banks for


Embedded Sample Rate Changes
in Digital Radio Front-Ends
Mehmood Awan 1, Yannick Le Moullec 1, Peter Koch 1, and Fred Harris 2
1. Technology Platforms Section, Dept. of Electronic Systems, Aalborg University, Denmark;
2. Dept. of Electrical & Computer Engineering, San Diego State University, CA, USA)

Abstract: This paper presents efficient processing engines for software-defined radio (SDR) front-ends. These engines, based on a
polyphase channelizer, perform arbitrary sample-rate changes, frequency selection, and bandwidth control. This paper presents an
M-path polyphase filter bank based on a modified N-path polyphase filter. Such a system allows resampling by arbitrary ratios while
performing baseband aliasing from center frequencies at Nyquist zones that are not multiples of the output sample rate. This resampling
technique is based on sliding cyclic data load interacting with cyclic-shifted coefficients. A non-maximally-decimated polyphase filter
bank (where the number of data loads is not equal to the number of M subfilters) processes M subfilters in a time period that is less than
or greater than the M data loads. A polyphase filter bank with five different resampling modes is used as a case study for embedded
resampling in SDR front-ends. These modes are (i) maximally decimated, (ii) under-decimated, (iii) over-decimated, and combined
up- and down-sampling with (iv) single stride length, and (v) multiple stride lengths. These modes can be used to obtain any required
rational sampling rate change in an SDR front-end based on a polyphase channelizer. They can also be used for translation to and from
arbitrary center frequencies that are unrelated to the output sample rates.

Keywords: SDR; digital front-ends; polyphase filter bank; embedded resampling

(IF). In the second stage, the IF filter image must be lower than the desired
1 Motivation output is again down-converted to spectral term, and this is difficult to

T
here are several generations of baseband by a matched-quadrature sustain over time and at varying
architectures for digital radio mixer and matched baseband filters temperatures.
transceivers. A base station in a that perform final bandwidth control. The need for extreme I/Q balance
cellular mobile communication Next, the signal passes into the digital gave rise to the next generation of
system is an example of a multichannel domain where the output of the radios where second-stage (IF)
radio receiver that simultaneously analog-to-digital converter (ADC) is down-conversion and, consequently,
down-converts and demodulates processed by digital signal processing the channelization process are
narrowband radio frequency (RF) (DSP) engines. These engines perform digitized, as shown in Fig. 1(b). Digital
channels [1], [2]. Traditional the required baseband processing, that conversion at IF provides greater
heterodyne architecture, considered is, synchronization, equalization, control over the imbalance by
the first generation of digital radio demodulation, detection, and manipulating the number of bits
architecture, is shown in Fig. 1(a) for an decoding. The problem with this type of involved in the arithmetic operation. The
N-channel receiver. Each subreceiver architecture is that amplitude and precision of the coefficients used in the
consists of a dual-stage phase are imbalanced. This results in filtering process sets an upper limit for
down-converter, and only the cross-talk between the narrowband spectral artifacts at -5 dB/bit. This
baseband processing is done in the channels because of aging (time, means that a 12-bit ADC can achieve
digital domain [2]. In the first stage, the temperature) of the analog components image levels below -60 dB [2].
RF signal is down-converted to of the quadrature down-converter. DSP-based complex
bandlimited intermediate frequency Each imbalance-related spectral down-conversion, however, has two

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 03

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P7
Special Topic Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

Singel Channel
Receiver LO-1_B BB Digital BB LO-1_B Digital BB
IF ADC
RF Base Base
Band Digital Band
Proc IF Proc
ADC
Splitter Network

LO-1_A LO-1_C LO-1_C


RF IF Single Channel
ADC Receiver


LO-N_B LO-N_B
IF LO-A
RF ADC Base Base
Band Band
ADC Proc Proc

LO-N_A LO-N_C LO-N_C

(a) (b)

ADC: analog-to-digital converter BB: baseband IF: internediate frequency LO: local oscillator RF: radio frequency

▲Figure 1. (a) First generation of digital radio architecture for an N-channel receiver, and (b) Second generation of digital radio architecture
for an N-channel receiver.

advantages: the spectral images are multirate signal processing specifies concludes the paper.
controlled so that they are below the new ways of performing DSP tasks, and
quantization noise floor of the ADC these ways are not normally available in
involved in the conversion process, and traditional DSP designs. A multirate 2 Introduction
the digital filters before and after the polyphase filter can perform the tasks A multirate polyphase filter can
mixers are designed to have linear of a multichannel receiver. In such a perform the tasks of a multichannel
phase characteristics [2]. The second receiver, an input signal is receiver. These tasks are equivalent to
generation of radio, with digital composed of many equal-bandwidth, down-conversion, filtering, and
front-end, is a realizable version of equally spaced resampling of multiple narrowband
SDR. The range of applications for frequency-division-multiplexed (FDM) signals [5]. The step-by-step
second-generation architecture, channels. These channels are digitally conversion of a standard
shown in Fig. 1(b), is restricted to those down-converted to baseband single-channel demodulator into a
with IF center frequencies of a couple of (bandwidth is constrained by digital multichannel polyphase channelizer is
hundred megahertz. This is due to the filters) and subjected to a sample rate described in [2] and [3]. A brief
limited dynamic range of high-speed reduction commensurate with the introduction is given here. In the
ADCs. The dynamic range is often bandwidth reduction. This significantly standard single-channel demodulation
extended by using a hybrid scheme in reduces the number of system process, shown in Fig. 2(a), the
which the initial complex resources required to perform carrier-centered spectrum is translated
down-conversion is performed by multichannel processing and, to baseband (where a filter reduces the
analog I/Q mixers, and channelization is consequently, reduces costs [2], [3]. bandwidth), and a resampler reduces
performed digitally after the ADC. DSP The remainder of this paper is the sample rate in proportion to the
techniques are applied to the digitized organized as follows: In section 2, we bandwidth reduction. Standard
I/Q data to balance the gain and phase briefly introduce a polyphase single-channel demodulation is
offsets in the analog ADC [3]. channelizer and describe how it is described by
A digital front-end with a standard formulated from a conventional -jθkn
design that includes frequency channelizer. In section 3, we categorize y (n, k) = [x (n )e ]×h (n) (1)
N-1
selection, bandwidth reduction, and the embedded resampling cases y (n, k) = Σx (n-r )e
-jθk (n-r )
h (r ) (2)
sample rate reduction is one of the most described in [5] into five different r =0

power- and time-critical functionalities resampling cases: maximally where x (n ) is the carrier-centered
of an SDR terminal. This is due to the decimated, under-decimated, input signal, θk is carrier angular
large bandwidth and high dynamic over-decimated, and combined frequency for k th channel, h (n) is the
range of the signal to be processed. up- and down-sampled with single baseband filter, and y (n, k) is the output
Consequently, the digital signals may and multiple commutator stride lengths. baseband signal for k th channel.
have high sample rates and large word In section 4, we perform MatLab According to the Equivalency
lengths. High sample rates not only simulations and the simulation results Theorem [3], down-conversion
increase power consumption but also demonstrate the performance of followed by baseband filtering can be
make the use of time-shared hardware polyphase channelizers that deliver the reordered so that filtering at the carrier
infeasible [4]. On the other hand, targeted output sample rates. Section 5 occurs first, followed by

04 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P8
Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends Special Topic
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

down-conversion. This is the opposite -jθkn -jθkn


e Digital Digital e
of the traditional channelization Lowpass Filter Bandpass Filter
process. Fig. 2(b) shows this reordered -jθk
H(Z) M H(Ze ) M
operation, which is also described by x (n ) y (nM,k ) x (n )
y (n,k ) y (nM,k )
-jθk n N-1 -jrθk y ( n ,k )
y (n, k) = e Σ x (n -r )h (r ) e . (3) (a) (b)
r =0

To reduce the work involved in Digital e


-jMθkn Digital
Bandpass Filter Bandpass Filter
down-converting and then discarding
-jθk -j (2π/Μ)k
the samples during resampling, the x (n )
H(Ze ) M
x (n )
H(Z e ) M
y(nM,k) y (nM,k )
heterodyne and down-sampler are y ( n ,k )
reordered, and only retained samples (c) (d)
are down-converted, as shown in Polyphase j 0k(2π/Μ) Polyphase
e
Fig. 2(c). In this case, the frequency of Partition Partition
y (nM,0)
the heterodyne at the reduced sample H0(Z) H0(Z)

rate is Mθk rad/sample rather than the


j 1k(2π/Μ)
e
y (nM,1)
original frequency of θk. If the center H1(Z) H1(Z)
frequency, θk , is a multiple of the output x(n ) e
j 2k(2π/Μ) y (nM,k )
x (n )
sample rate 2π/M, that is, k (2π/M), then (FDM)
M -Point y (nM,2)
H2(Z) H2(Z) FFT
the center frequency is aliased to 0 by
M-to-1 resampling. Under this


condition, the down-sampled e
j (M-1)k (2π/Μ)

heterodyne defaults to unity and can be y (nM,M-1)


HM -1(Z) HM -1(Z)
discarded, as shown in Fig. 2(d).
For the computed output for each (e) (f)
input, M -1 of these computed output FDM: frequency division multiplexing FFT: fast Fourier transform
samples are discarded by the
down-sampler. To reduce this ▲Figure 2. Transformation of a standard single-channel channelizer into a polyphase
workload, the resampling and the channelizer with M channels: (a) heterodyning, filtering, and down-sampling for a standard
channelizer, (b) reordered channelizer using Equivalency Theorem, (c) reordering of the
filtering operations are reordered so resampler, (d) down-converter with center frequency at a multiple of output sample rate
that one output is computed for every M aliases to baseband by M -to-1 down-sampling, (e) single-channel polyphase channelizer,
input sample. This is achieved by and (f) polyphase channelizer for M channels.
applying the Noble identity [3], which
describes how a filter processing every Noble identity. The input delay (FFT size), which is the same as M here,
M th input sample followed by an output elements Z -r and the resampler at each and Δf is the inter-channel spacing [3].
M -to-1 down-sampler is equivalent to stage are replaced by a rotary switch The polyphase filter channelizer uses
an input M -to-1 down-sampler called a commutator. the input M -to-1 resampling to alias
followed by a filter processing every In the final step of forming the the spectral terms residing at multiples
input sample. The original polyphase filter bank, the sum formed of the output sample rate to baseband.
up-converted filter is partitioned to M by the phase rotators is one output port This means that for a standard
subfilters that operate at the reduced of a discrete Fourier transform (DFT). polyphase channelizer processing M
output rate rather than the original input The DFT can be implemented as a fast input samples at a time, the output
rate. The mathematical expressions in Fourier transform (FFT) to extract time sample rate is the same as the channel
(4) describe the mapping of the filter’ s samples of each narrowband process spacing. When operating in this mode,
Z-transforms at the input rate to a sum located at multiples of the output the system is a maximally decimated
of Z-transforms at the output rate: sample rate (that has been aliased to filter bank. We experimented with
N-1 j

kn baseband by the resampler) [5]. This is polyphase filter banks using embedded
G(Z ) = nΣ=0 h (n )e M
Z -n shown in Fig. 2(f) and given by resampling and here present
N
j 2π k (r+nM )
M-1
j

kr under-decimated, over-decimated,
M-1 M
-1
(4) y (nM, k) = Σ yr (nM )e M . (5)
= rΣ Σ h (r+nM ) e
M
Z -(r+nM ) r =0 and combined up- and
=0 n=0
N
The relationship between the down-sampling (for single and multiple
M-1 j 2π kr M
-1
sampling frequency, channel spacing, commutator stride lengths) modes.
= rΣ
=0
Z e -r M
n=0
Σ h (r+nM )Z -nM
. and number of channels for the
The phase rotators in each subfilter polyphase channelizer is
are constant for that subfilter. Fig. 2(e)
3 Non-Maximally
shows the block diagram for (4). The fs = N·Δf (6) Decimated Filter Bank
output resampler is pulled to the input where fs is the input sampling We have briefly presented the
side of each filter stage by applying the frequency, N is number of channels polyphase filter bank channelizer in

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 05

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P9
Special Topic Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

2.5 MHz allow down-sampling by large factors


within the channelizer. The
down-sample channelizer uses a
30-tap prototype low-pass filter with
around 60 dB side-lobe attenuation
that is partitioned into a 5-path
-15 -12 -6 0 6 12 15 f (MHz) polyphase filter with 6-tap subfilters.
fs
-f s /2 M -f s /2 Both the data bank and filter coefficient
f s =30 MHz bank are two-dimensional memories of
5 rows and 6 columns, and each row
▲Figure 3. A 30 MHz FDM signal with 5 channels separated by 6 MHz center frequencies. corresponds to a subfilter. According to
Each channel has a 2.5 MHz symbol rate shaped by a square root Nyquist filter with (6), the output sample rate for the
20% excess bandwidth. maximally decimated system becomes
6 MHz, which is the same as the
which the output sample rate is the set is loaded, the data time-origin channel spacing. Four other resampling
same as the channel spacing. moves with respect to the FFT factors are also introduced, and two of
However, in practice, an output sample time-origin. To keep these two origins these have an embedded up-sampling
rate that is different from the channel aligned, the computed output of the factor of two. These five resampling
spacing is often required. To uncouple polyphase filter is circular-shifted by factors are 5, 3, 6, 5/2, and 15/2,
the output sample rate from the channel the residue address of the data delivering output sample rates of 6, 10,
spacing, a straightforward approach is time-origin mod M before the FFT is 5, 12 and 4 MHz, respectively. These
to resample each channel with P/Q performed. In the sliding cyclic correspond to maximally decimated,
resamplers [5]. By changing the values data-load and cyclic shift of the under-decimated, over-decimated,
of P and Q, the required sample rate coefficient memory scheme, the data and combined up-and down-sample
can be obtained. An alternative is to registers are fixed instead of being cases. Each of these cases will be
embed the resampling process in (i) the cycled, and the coefficient sets are presented for the sliding cyclic data
polyphase commutator, that is, in the rotated. The input data is fed as sliding load and cyclic shift of the coefficient
interaction between input data registers cyclic load by the input commutator to a memory scheme.
and the polyphase coefficients, and in fixed set of registers, and the subfilter Case 1: Maximally-Decimated Mode
(ii) the interaction between the coefficients are cyclic-shifted by the In a maximally decimated system,
polyphase outputs and the FFT input. same residue address of the data data is loaded in stride lengths of 5
This alternative only requires a state time-origin mod M before FFT is mod 5, and a computed output sample
machine to schedule the interactions, performed. Taking individual subfilters has a 5-to-1 down-sampling. Fig. 4(a)
and there is no computational cost. into account, the first scheme seems to shows the data loading process for the
Two schemes [5] for these require more read and write operations two outputs. The subfilter’ s data
interactions are (i) serpentine shifting to synthesize the serpentine data shift. register and coefficients are denoted R
the input data that interacts with a fixed However, this shift is, rather, achieved and C, respectively. In all the data
set of coefficients and circular buffering by circular wrapping of block memory loads, data loading starts from subfilter
the filtered data prior to FFT, and (ii) (an address control task). In the second R4 up to R0, and the loaded subfilter’ s
sliding the cyclic data-load that scheme, only the loading subfilter gets tapped delay line is pushed one tap to
interacts with cyclic-shifted coefficient a data shift. the right before a new data element is
memory. In the serpentine shift and To demonstrate the embedded loaded. For every computed output, all
circular buffering scheme, an input data resampling, we here describe the the subfilters are fed with input data.
set (not equal to M ) is always fed to the example shown in Fig. 3. A system has Because there is no residue
same registers, and the polyphase 5 channels separated by 6 MHz center (non-loaded subfilter), there is no offset
subfilter coefficients are fixed. Let us frequencies. Each channel has a between the data time-origin and the
consider a single-tapped delay line 2.5 MHz symbol rate shaped by a FFT time-origin. The coefficients of the
where all the data is moved further to square root Nyquist filter (with 20% subfilters are therefore fixed from C0 to
the right before the next input data set excess bandwidth) to form a 30 MHz C4. There is only one state machine
is loaded. The data is moved by an FDM channel. To satisfy the Nyquist where the 5-point data-loading of the
address equal in length to the next criteria at the output sample rate, the register bank always performs an inner
input data set. By folding this output sample rate must be greater product with the fixed set of subfilter
one-dimensional tapped delay line into than the occupied channel bandwidth. coefficients. Table 1 shows the register
the two-dimensional memory of the The occupied channel bandwidth of loading sequence and corresponding
polyphase filter, the data move is a 3 MHz (symbol rate plus excess subfilter coefficients.
serpentine shift between the columns. bandwidth) is selected to be smaller Case 2: Under-Decimated Mode
Because this non-equal M input data than the channel bandwidth of 6 MHz to In a non-maximally decimated

06 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P10
Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends Special Topic
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

2nd Data Load 1st Data Load 2nd Data Load 1st Data Load Case 3: Over-Decimated
R0 n+9 n+4 R0 n+4 R0 n+2 R0 n+2 Mode
R1 n+8 n+3 R1 n+3 R1 n+1 R1 n+1 In a over-decimated
R2 n+7 n+2 R2 n+2 3 R2 n+5 n+0
3 R2
n+0 system, data is loaded in
5 R4
R3 n+6 n+1
5 R4
R3 n+1 R3 n+4 R3 stride lengths of 6 mod 5,
R4 n+3
n+5 n+0 n+0 R4 and a computed output
(a) (b) sample has a 6-to-1
2 Data Load
nd
1 Data Load
st
2 Data Load
nd
1 Data Load
st down-sampling within a
R0 n+10 n+5 n+0 R0 n+5 n+0 R0 n+2 R0 n+2 5-stage polyphase filter.
R1 n+9 n+4 6 R1 n +4 2 R1 n +4 R1 The LCM of 6 and 5 is 30,
R2 n+8 n+3 R2 n+3 R2 n+1 3 R2 n+1 which means that the state
R3 n+3
6 R4
R3 n+7 n+2 R3 n+2 R3 engine cycles in 30 inputs,
R4 n+1 R4 n+0 R4 n+0
n+11 n+6 n+1 and because 6-point data
(c) (d) is delivered at a time, there
2 Data Load
nd
1 Data Load
st must be 5 distinct states in
R0 n+12 n+7 n+2 R0 n+7 n+2 the state machine. Fig. 4(c)
R1 n+14 n+9 n+4 R1 n+4 shows the data-loading
7
R2 n+11 n+6 n+1 R2 n+6 n+1 processes for the first two
R3 n+13 n+8 n+3 8 R3 n+3 states.
R4 n+10 n+5 n+0 R4 n+5 n+0
In the first data load,
(e) loading starts from subfilters
R0, R4 up to R0, and the
▲Figure 4. Data loading processes for a 5-path polyphase filter performing (a) 5-to-1 down-sampling
(case 1), (b) 3-to-1 down-sampling (case 2), (c) 6-to-1 down-sampling (case 3), (d) 5/2 down-sampling second load starts from R4
(case 4), and (e) 15/2 down-sampling (case 5). up to R0 and R4 again and
so on for the 5 distinct
▼Table 1. Register loading sequence and states. states. Consequently, there is a residue
corresponding subfilters coefficients for a In the first state, data loading starts of 4 for each data-loading operation.
5-path maximally decimated system from subfilter R2 up to R0; and in the To align the data time-origin with the
State Loading Sequence Filter Coefficients second state, data loading starts from FFT time-origin, the subfilter
R4 to R2 and so on for the five distinct coefficients are cyclic-shifted by the
0 R4 R3 R2 R1 R0 C0 C1 C2 C3 C4
states. Consequently, there is a residue residue address of the data time-origin
of 2 for each data-loading operation. mod 5. Table 3 shows the state
▼Table 2. Register loading sequence and To align the data time-origin with the machine for register-loading and the
the corresponding subfilter coefficients for FFT time-origin, the subfilter corresponding coefficients for
3-to-1 sample rate change in a 5-path coefficients are cyclic-shifted by the performing 6-to-1 down-sampling in a
polyphase filter residue address of the data time-origin 5-stage polyphase filter.
State Loading Sequence Filter Coefficients mod 5. The time-origin that is being Case 4: Combined Up- and
cyclically shifted is also periodic in the Down-Sampling Mode (Single Stride)
0 R2 R1 R0 C0 C1 C2 C3 C4
LCM of 3 and 5. Thus, the cyclic shift of In the previous three cases,
1 R4 R3 R2 C3 C4 C0 C1 C2 the polyphase subfilter coefficients has down-sampling was performed by
2 R1 R0 R4 C1 C2 C3 C4 C0 the same period as the data register different factors. The polyphase filter is
load and is controlled by the same state also capable of embedding the
3 R3 R2 R1 C4 C0 C1 C2 C3
machine. The data-loading sequence up-sampling factor with the
4 R0 R4 R3 C2 C3 C4 C0 C1 is always to the next 3 registers that down-sampling so that the sample rate
have indexing of mod 5, which means change is rational. In this case, we
(under-decimated) system, data is that the next register to accept data
▼Table 3. Register loading sequence and the
loaded in stride lengths of 3 mod 5, and when moving from state 0 to state 1 is corresponding subfilter coefficients for
a computed output sample has a (R0)-1, which is actually R4. Similarly, 3-to-1 sample rate change in a 5-path
3-to-1 down-sampling within a the filter coefficients assigned to polyphase filter
5-stage polyphase filter. The least perform the inner products with the State Loading Sequence Filter Coefficients
common multiple (LCM) of 3 and 5 is registers are always offset 3 mod 5
0 R0 R4 R3 R2 R1 R0 C0 C1 C2 C3 C4
15, which means that the state engine relative to the previous filter set. Table 2
cycles in 15 inputs, and because shows the state machine for 1 R4 R3 R2 R1 R0 R4 C1 C2 C3 C4 C0
3-point data is delivered at a time, register-loading and coefficients of 2 R3 R2 R1 R0 R4 R3 C2 C3 C4 C0 C1
there must be 5 distinct states in the each corresponding subfilter for
3 R2 R1 R0 R4 R3 R2 C3 C4 C0 C1 C2
state machine. Fig. 4(b) shows the performing 3-to-1 down-sampling in a
4 R1 R0 R4 R3 R2 R1 C4 C0 C1 C2 C3
data-loading processes for the first two 5-stage polyphase filter.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 07

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P11
Special Topic Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

▼Table 4. Register loading sequence and successive filter index increments by is 30 MHz, and each channel has a 2.5
the corresponding subfilter coefficients for 6 mod 10; and between the states, the MHz symbol rate shaped by a square
5/2 sample rate change in a 5-path filter index increments by 5 mod 10. The root Nyquist filter with 20% excess
polyphase filter integer 6 is the offset between two data bandwidth. Three of the five channels,
No Loading Filter samples in the zero-packed load in two which are occupied by 3 MHz
State
of Inputs Sequence Coefficients adjacent rows. Because of bandwidth signals, are centered at 0, 6,
0 3 R4 R2 R0 C0 C6 C2 C8 C4 up-sampling by a factor of 2, the and 12 MHz. The remaining two
prototype filter has to be designed to channels, centered at -12 and -6 MHz,
1 2 R3 R1 C5 C1 C7 C3 C9 operate at 2 × fs , that is, 60 MHz. are intentionally kept empty. The input
Consequently, the filter becomes twice signal spectrum comprising 5 channels
up-sample by a factor of 2 and then as long as the standard design. at 30 MHz is shown in Fig. 5(a).
down-sample by a factor of 5 to obtain However, because only half of it is used In a system operating in maximally
a 5/2 sample rate change. The per processing cycle, there is no decimated mode, the input data is
up-sampling, performed by processing penalty [5]. channelized and down-sampled
zero-packing the input data, is actually Case 5: Combined Up- and 5-to-1 for an output rate of 6 MHz.
achieved by data-load addressing, in Down-Sampling Mode (Multiple Each of the 5 polyphase filter stages
which one address is skipped so that Strides) are 6 taps long and are anchored to the
1-to-2 up-sampling can be realized. This case is similar to case 4 but 5 input registers being fed by the
Down-sampling is performed by down-sampled by a factor of 15 to periodic input commutator. Fig. 5(b)
cyclic-loading the data (zero-packed) have a 15/2 sample rate change. shows the spectra of the 5 output
through the filter in stride of length 5. Up-sampling is performed by channels with an output rate of 6 MHz.
The two data-loading cycles for a 5/2 data-load addressing, which skips the In a system operating in
sample rate change in a 5-path next address, and down-sampling is under-decimated mode, the same
polyphase filter are shown in Fig. 4(d). performed by cyclic-loading the data input data is channelized and
In the first data load, 3 data samples through the filter in stride lengths of 15. down-sampled 3-to-1 for an output
are delivered to the 5 register The two states of the loading cycle for rate of 10 MHz. Fig. 5(c) shows the
addresses. In the second load, 2 data 15/2 sample rate change in a 5-path spectra of the 5 output channels with
samples are delivered to the 5 register polyphase filter are shown in Fig. 4(e). 10 MHz output rate. Similarly, in a
addresses. The data-loading process In the first data load, 8 data samples system operating in over-decimated
is periodic in 2 load cycles, and 2 are delivered to the 5 register mode, the same input data is
states are needed to control the addresses. In the second load, 7 data channelized and down-sampled
process. The data-loading process for samples are delivered to the 5 register 6-to-1 for an output rate of 5 MHz.
the 2 states and the corresponding addresses. The data-loading process Figure 5(d) shows the spectra of the 5
coefficient sets are listed in Table 4. In is periodic in 2 load cycles, and 2 output channels with 5 MHz output rate.
the 2 states, 5 inputs are delivered, and states are needed to control the In a system operating in combined
2 outputs from the polyphase engine process. Table 5 lists the data-loading up- and down-sampling mode, the
are taken to realize the desired 5/2 process for the 2 states and the input spectrum is channelized,
embedded resampling. The loading corresponding coefficient sets. In the up-sampled by a factor of 2, and
scheme has a constant offset of process, 15-to-2 down-sampling is down-sampled by 5-to-1 and
-2 mod 5 within a sequence and also in performed in a 5-path polyphase filter. 15-to-1 for output rates of 12 MHz and
the transition between sequences. The filter down-converts the spectral 4 MHz, respectively. Because of
The -2 offset is a result of the 1-to-2 regions from multiples of fs /5 (or up-sampling by a factor of 2, there are
up-sampling, represented by the zero 30/5 = 6 MHz) and maintains a sample 10 polyphase filter coefficient stages
packing. rate of fs (2/15) (or 60/15 = 4 MHz). each with 6 taps. The filters’
There are normally 5 subfilters in the coefficients are periodically rotated
polyphase partition of a 5-stage through the 5 input registers (which
polyphase filter. Because of the 1-to-2 4 Simulations have a periodic sliding input
up-sampling implemented by the The MatLab simulations show the commutator) according to the state
zero-packing, only half the coefficients embedded sample rate changes in a machine described in Table 4 and
in each stage actually contribute to the 5-path polyphase filter and
subfilter output [5]. Thus, each stage is DFT operating as a ▼Table 5. Register loading sequence and the corresponding
subfilter coefficients for15/2 sample rate change in a
further partitioned into 2 subsets of 5-channel channelizer. The 5-path polyphase filter
coefficients, which results in 10 subfilter FDM input signal has 5
coefficient sets. These sets are denoted channels that are each State No of Inputs Loading Sequence Filter Coefficients
C0, C1,..., C9 where the integer is the 16-QAM modulated and 0 8 R4 R2 R0 R3 R1 R4 R2 R0 C0 C6 C2 C8 C4
starting index from the original separated by 6 MHz center
1 7 R3 R1 R4 R2 R0 R3 R1 C5 C1 C7 C3 C9
non-partitioned prototype filter. The frequencies. The sample rate

08 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P12
Polyphase Filter Banks for Embedded Sample Rate Changes in Digital Radio Front-Ends Special Topic
Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris

10 10 10 10 10 10
cellular mobile systems,”in Software Radio
0 0 0 0 0 0 Technologies and Services, E. Del Re, Ed., Berlin,
-10 -10 -10 -10 -10 -10 Germany: Springer-Verlag, 2001.
-20 -20 -20 -20 -20 -20 [2] f. harris, C. Dick, M. Rice,“Digital receivers and
-30 -30 -30 -30 -30 -30 transmitters using polyphase filter banks for
dB

-40 -40 -40 -40 -40 -40


wireless communications,”in IEEE Trans. Microw.
-50 -50 -50 -50 -50 -50
-60 -60 -60 -60 -60 -60
Theory Tech., vol. 51, no. 4, pp 1395-1412, 2003.
-70 -70 -70
-80
-70 -70 -70 [3] f. harris, Multirate Signal Processing for
-80 -80 -80 -80 -80 -80 Communication Systems. New York: Prentice Hall,
-15 -12 -9 -6 -3 0 3 6 9 12 15 -2 0 2 -2 0 2 -2 0 2 -2 0 2 -2 0 2
2006.
(a) (b)
[4] T. Hentschel, M. Henker, G. Fettweis,“The digital
10 10 10 10 10
front-end of software radio Terminals,”IEEE
10 10 10 10 10
0 0 0 0 0 0 0 0 0 0
-10 -10 -10 -10 -10 -10 -10 -10 -10 -10
Personal Commun., vol. 6, no.4, pp 40-46, Aug.
-20 -20 -20 -20 -20 -20 -20 -20 -20 -20 1999.
-30 -30 -30 -30 -30 -30 -30 -30 -30 -30 [5] f. harris, C. Dick,“Performing simultaneous arbitrary
-40 -40 -40 -40 -40 -40 -40 -40 -40 -40 spectral translation and sample rate change in
-50 -50 -50 -50 -50 -50 -50 -50 -50 -50
polyphase interpolating or decimating filters in
transmitters and receivers,”in Proc. Software
-60 -60 -60 -60 -60 -60 -60 -60 -60 -60
-80 -80
-70 -70 -70 -70 -70 -70 -70 -70 -70 -70
-80 -80 -80 -80 -80 -80 -80 -80 -80 -80
Defined Radio Tech. Conf. and Product Expo, San
-5 0 5 -5 0 5 -5 0 5 -5 0 5 -5 0 5 -2 0 2 -2 0 2 -2 0 2 -2 0 2 -2 0 2
Diego, CA, Nov 2002.
(c) (d) [6] M. Awan, Y. Le Moullec, P. Koch, and f. harris,
“Hardware architecture analysis of polyphase filter
10 10 10 10 10 10 10 10 10 10
0 0 0 0 0 0 0 0 0 0
-10 -10 -10 -10 -10 -10 -10 -10 -10 -10
banks performing embedded resampling for
-20 -20 -20 -20 -20 -20 -20 -20 -20 -20 software-defined radio front-ends,”to appear in
-30 -30 -30 -30 -30 -30 -30 -30 -30 -30 Special Issue on Digital Front-End and Software
-40 -40 -40 -40 -40 -40 -40 -40 -40 -40 Radio Frequency, ZTE Communications, March,
-50 -50 -50 -50 -50 -50 -50 -50 -50 -50 2012.
-60 -60 -60 -60 -60 -60 -60 -60 -60 -60
-80 -80
-70 -70 -70 -70 -70 -70 -70 -70 -70 -70
-80 -80 -80 -80 -80 -80 -80 -80 -80 -80
-5 0 5 -5 0 5 -5 0 5 -5 0 5 -5 0 5 -2 0 2 -2 0 2 -2 0 2 -2 0 2 -2 0 2

(e) (f)
Biographies
▲Figure 5. (a) Input signal spectrum with 5 channels of 3 MHz bandwidth and 6 MHz channel
spacing at 30 MHz sample rate. The spectra of the 5 output channels are (b) 6 MHz for 5-to-1 Mehmood Awan (mura@es.aau.dk) received his
MSc degree in electronic engineering with
down-sampling, (c)10 MHz for 3-to-1 down-sampling, (d) 5 MHz for 6-to-1 down-sampling, specialization in applied signal processing and
(e) 12 MHz for 5/2 resampling, and (f) 4 MHz for 15/2 resampling. implementation from Aalborg University in 2007. He
was a research assistant for one year and started
Table 5. The spectral locations of the performs embedded resampling that is his Ph.D. in resource-optimal SDR front-ends in
2008. His research interests include multirate signal
channels are reordered as a result of uncoupled from frequency selection processing, SDR, hardware architectures, and
processing the up-sampled data in the and bandwidth control. Five embedded embedded systems.
polyphase filter [3], [5]. The 5-point resampling modes in polyphase filter Yannick Le Moullec (ylm@es.aau.dk) received
FFT processes the polyphase data banks have been presented, namely, his Ph.D. degree in electrical engineering from
Université de Bretagne Sud, Lorient, France, in
output frequencies in the order maximally decimated,
2003. From 2003 to 2005, he was a post-doctoral
[0, 2, 4, 1, 3], which is seen to be under-decimated, over-decimated, fellow at the Center for Embedded Software
indexing stride of 2 mod 5. These are and combined up- and Systems, Aalborg University, Denmark. From 2005
to 2008, he was an assistant professor at the
reordered back to their natural order. down-sampling. These correspond to Department of Electronic Systems, Aalborg
Figs. 5(e) and (f) show the spectra of single, short, long, and multiple University, where he is now an associate professor.
His research interests include methods and tools for
the 5 output channels at 12 MHz and 4 commutator stride lengths. For various HW/SW co-design, embedded systems, and
MHz, which correspond to 5/2 and 15/2 applications, these modes can be used reconfigurable computing.
sample rate changes, respectively. for any required rational sampling-rate
Peter Koch (pk@es.aau.dk) received his M.Sc.
The simulations show that embedded change in an SDR front-end using a and Ph.D. degrees in Electrical Engineering from
sample rate changes can be polyphase channelizer. The suggested Aalborg University, Denmark, in 1989 and 1996.
Since 1997, he has been an associate professor at
successfully implemented in a modes are highly useful for designing the Department of Electronic Systems, Aalborg
polyphase channelizer. All the output flexible and resource-optimal University, working in the interdisciplinary field
channels have 60 dB of spectral architectures for advanced software between DSP and resource-optimal real-time
architectures. From 2006 to 2010, he headed the
side-lobe attenuation, selected by the radios. In a subsequent paper Center for Software Defined Radio, Aalborg
prototype low-pass filter. The “Hardware Architecture Analysis of University. His research interests include
optimization between DSP algorithms and
processing engines used in all the 5 Polyphase Filter Banks Performing architectures, and low-energy HW/SW design.
cases are identical except that each Embedded Resampling for Software
has different state machines, register Defined Radio Front-Ends”[6], we Fred Harris (fred.harris@sdsu.edu) holds the
Signal Processing Chair of the Communication
loading schemes, and subfilter analyze FPGA based hardware Systems and Signal Processing Institute at San
coefficient sets. architecture of these resampling Diego State University where he teaches DSP and
communication systems. He holds 20 patents for
engines in terms of area, time, and digital receivers, and he lectures around the world
power tradeoffs. on DSP applications. He is an adjunct of the
5 Conclusion Princeton IDA-CCR Center for Communications
Research and is the author of“Multirate Signal
References
In this paper, we have shown the [1] A. M. Badda and M. Donati,“The software defined Processing for Communication systems.”
versatility of a polyphase engine that radio technique applied to the RF front-end for

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 09

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P13
Special Topic Design of Software-Defined Down-Conversion and Up-Conversion: An Overview
Yue Zhang, Li-Ke Huang, Carsten Maple, and Qing Xuan

Design of Software-Defined
Down-Conversion and
Up-Conversion: An Overview
Yue Zhang 1, Li-Ke Huang 2, Carsten Maple 1, and Qing Xuan 3
(1. Department of Computer Science and Technology, University of Bedfordshire, UK;
2. Aeroflex International Ltd, Stevenage, UK;
3. Sino-European-Link Ltd, UK)

Abstract: In recent years, much attention has been paid to software-defined radio (SDR) technologies for multimode wireless systems.
SDR can be defined as a radio communication system that uses software to modulate and demodulate radio signals. This article
describes concepts, theory, and design principles for SDR down-conversion and up-conversion. Design issues in SDR
down-conversion are discussed, and two different architectures, super-heterodyne and direct-conversion, are proposed. Design
issues in SDR up-conversion are also discussed, and trade-offs in the design of filters, mixers, NCO, DAC, and signal processing are
highlighted.

Keywords: SDR down-conversion; up-conversion; direct-conversion; super-heterodyne conversion

software (Fig. 1). includes digital down-conversion


1 Introduction In Fig. 1, the RF front-end (analog (DDC) operations such as digital

S
oftware-defined radio (SDR) will front-end) is the only analog section synthesizing, digital numerical control
play a key role in future radio and includes mixer, low-noise amplifier oscillator (NCO), digital mixing, I/Q
configurations because of the (LNA), power amplifier (PA), RF demodulation, and multirate decimation
emergence of new wireless combiner, bandpass filter filtering. In digital IF processing, digital
technologies and their integration into (anti-aliasing), and antenna. The RF up-conversion (DUC) is performed in
fourth generation standards such as front-end is responsible for transmitting the reverse order of DDC. Digital
LTE-Advanced. SDR is a fundamental and receiving RF signals and systems such as FPGAs are normally
part of many radio systems, which converting RF signals to IF. Some used for IF-to-baseband conversion
include up-conversion of the discrete advanced RF front-ends allow a certain because they are able to handle tight
baseband signal into a high-resolution degree of control, for example, real-time constraints imposed by
radio signal at the transmitter and frequency tuning, through software. high-speed sampling and digital
down-conversion of the The other parts of the SDR conversion. In the back-end of the SDR
high-resolution radio signal back into architecture are digital processing architecture, the baseband process
baseband at the receiver [1]. Fig. 1 components. In the IF section, sampling mainly performs digital communications
shows an SDR receiver in and separation of IF carriers, and functions such as symbol timing
super-heterodyne architecture — a up/down frequency conversion to recovery, equalization, modulation, and
dual-stage conversion architecture in baseband is performed by digital IF channel coding. These functions are
which a radio frequency (RF) signal is processing normally implemented in usually carried out using digital signal
down-converted to intermediate field programmable gate array (FPGA). processors (DSPs) with slightly relaxed
frequency (IF) in the first stage and then Take the receiving path for example. real-time constraints.
converted from IF to baseband in the The IF signal is digitized by the SDR architecture tends to use
second stage. The hardware in the RF, analog-to-digital converter (ADC) and general-purpose digital systems.
IF, and baseband sections are then converted to baseband by digital FPGAs and DSPs in SDR allow the
controllable and reconfigurable using IF processing. Digital IF processing transmitted signal to be generated and

10 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P14
Design of Software-Defined Down-Conversion and Up-Conversion: An Overview Special Topic
Yue Zhang, Li-Ke Huang, Carsten Maple, and Qing Xuan

I operation cannot be jointly designed in


Rate
Conversion
this case. Fig. 3 shows the
RF IF IF
LPF single-stage implementation of each
90°
ADC filtering operation.
NCO
Currently, super-heterodyne
BPF LNA LO
f1
BPF VGA
Rate architecture is used for higher-RF
Conversion frequency designs such as LTE and
Q LPF
IEEE 802.11ac. The main issue for
ADC: analog-to-digital converter LNA: low-noise amplifier NCO: numerical control oscillator super-heterodyne receivers is the
BPF: bandpass filter LO: local oscillator RF: radio frequency high-quality image and adjacent filter
IF: intermediate frequency LPF: low-pass filter VGA: viable gain amplifier
design. Fig. 4 (top) shows the process
▲Figure 1. Super-heterodyne receiver architecture. of converting an RF signal into an IF
signal. The received signal contains the
well-known receiver architecture in desired signal at fLO + fIF, a second
Down-Sampling
which an RF signal received from the signal close to the image frequency at
Image antenna is translated to baseband fLO - fIF, and interference in an adjacent
Removal
and using a down-conversion mixer, channel [4]. The image signal is
Anti-Aliasing bandpass filter, and amplifier [2]. First, removed by an image-reject BPF
Filtering the signal is filtered by a bandpass filter before conversion. At this stage,
(BPF) to obtain the desired channel adjacent interference and image
▲Figure 2. Sample rate conversion module signal. After BPF, the signal level is interference cannot be completely
in DDC with integral rate conversion. boosted by an LNA. The signal is removed because of the limitation of the
converted to IF because of the image-reject BPF. The interference
the received radio signal (at the down-conversion mixer, local oscillator level is attenuated by the image-reject
receiver) to be tuned and detected (LO), second-stage BPF, and BPF. Fig. 5 shows that the remaining
digitally by software. The conventional variable-gain amplifier. Then, it is signal and interference at the image
method involves an analog signal sampled by an ADC and digitally frequency falls into the same band as
passing through individual hardware processed by the DDC. At the DDC, I/Q the desired signal when
components, each of which perform a components are extracted and down-converted into IF. This
specific function. SDR systems offer demodulated to baseband. Because of down-conversion is performed by the
greatly extended programmability, the sample rate difference at IF and mixer and the first LO. However, the
reconfigurability, and definability. SDR baseband, multirate filters are required image signal, while strongly attenuated
is intended to be a radio system that is to perform sample-rate conversion. by the image-reject BPF, is still present
flexible, versatile, and multistandard. If the conversion rate is an integer, in the signal band at IF. The
This system is therefore able to the sample-rate conversion module in channel-select BPF cannot remove this
accommodate updates of new radio the DDC performs image-removal interference. The higher the IF that is
functions. filtering, anti-aliasing filtering, and chosen, the better the image that can
This article highlights several down-sampling. This module can be be rejected by a filter because the
possible implementations of receivers jointly designed by using multistage or separation between the desired signal
and transmitters. Several architectures single-stage filtering. Such a design and image is greater. A considerable
for SDR receiver front-ends are provides an equivalent impulse drawback of using a high IF is that
reviewed alongside several response for performing signals in adjacent channels are not
architectures for transmitter front-ends. image-removal filtering and sufficiently attenuated by the
In the conclusion, we summarize this anti-aliasing filtering. The single-stage image-reject filter and a subsequent IF
work and identify solutions with greatest implementation is shown in Fig. 2. filter becomes necessary. The lower the
potential from our point of view. If the conversion rate is a fraction, the IF, the steeper the absolute slope of the
DDC module performs image-removal filter. As a compromise, two different
filtering, up-sampling, IFs can be used, which creates a
2 Software-Defined Radio interpolation/anti-aliasing filtering, and dual-IF topology. A further drawback of
Receiver Architectures down-sampling [3]. The filtering the super-heterodyne receiver is its
In this section, we review several
front-end architectures for SDR Up-Sampling Down-Sampling
receivers.
Figure 3. ▶ Interpolation
Image
Sample rate conversion Removal
and
2.1 Super-Heterodyne Receiver module in DDC with Filtering
Anti-Aliasing
Fig. 1 shows a super-heterodyne fractional rate Filtering

receiver. Super-heterodyne is a conversion.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 11

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P15
Special Topic Design of Software-Defined Down-Conversion and Up-Conversion: An Overview
Yue Zhang, Li-Ke Huang, Carsten Maple, and Qing Xuan

(dB Scale) resolve this problem, differential circuits


Image Reject BPF can be used for LNA and mixer, and the
Interference design of these circuits should be
optimized for linearity. Leakage of the
Image Interference
LO signal from the mixer and LNA
creates in-band interference for other
receivers. To resolve this problem,
fLO-fI F fLO fLO+fI F Freqency (Hz) balanced mixers and LNAs with high
Image Signal
Mixed reverse isolation are used in the design.
with
LO f1
In I/Q imbalance, the LO outputs are not
exactly 90 degrees out of phase
(dB Scale) between the I and Q branches. This
Channel Select BPF phase shift causes I/Q mismatch in the
Interference baseband. However, this distortion is
frequency-independent, and digital
Image Interference calibration can remove it.

fIF Freqency (Hz) 3 Software-Defined Radio


Signal ◀Figure 4.
Spectrum conversion in a Transmitter Architectures
BPF: bandpass filter LO: local oscillator
super-heterodyne receiver.
3.1 Super-Heterodyne Transmitter
complexity, which leads to increased and I/Q imbalance [7], and each A super-heterodyne transmitter uses
chip size, more complex circuit design, component has to be carefully the reverse procedure of the
and increased power consumption in designed and tuned. DC offset is super-heterodyne receiver shown in
the direct-conversion receiver. generated by self-mixing a strong Fig. 6. The signal is created in the
signal at the mixer stage. The strong digital domain and then converted into
2.2 Direct-Conversion Receiver signal is normally from interference or analog using simple digital-to-analog
Another approach for front-end the LO signal. The down-conversion converters (DACs).
architecture is the direct-conversion stage involves down-converting The complex input I/Q baseband
receiver as shown in Fig. 5. A directly to zero frequency. A DC offset signal is sampled at a relatively low
direct-conversion receiver is a is amplified in baseband together with rate, typically the digital modulation
simplified version of a the received and down-converted symbol rate. The baseband signal is
super-heterodyne receiver [5], [6]. signal. If the offset has higher amplitude filtered and converted to a higher
The received RF signal is selected by than the desired signal, the offset sampling rate before being converted
a BPF and amplified by an LNA. The dominates and saturates the following into analog. Starting at the interpolation
BPF removes out-of-band interference LNA [8]. In the even-order distortion, filter, the complex input signals pass
and noise. After this, the selected RF spurious signals are created at low through three stages of filtering, each of
signal is directly down-converted to frequencies because of the which changes the sampling rate and
DC by a mixer and converted to digital direct-conversion architecture. performs associated low-pass
by ADC. Only low-pass filters are Because of RF to IF leakage in the interpolation filtering [9]-[11].
needed in the baseband circuits to mixer, products of even-order The three filtering stages are shown
perform channel filtering. Compared distortion in the LNA and mixer can in Fig. 6. First, a compensation finite
with a super-heterodyne receiver, a damage the baseband signal. To impulse response filter, CFIR G(z),
direct-conversion receiver has fewer
analog components. The absence of a
I
high-Q filter is a significant advantage LNA Rate
ADC
of a direct-conversion receiver, as is Conversion
LPF LPF
the completely integrated CMOS 90°
implementation of the front-end without LO1
the signal quality being impaired. BPF LNA
Rate
Therefore, the direct-conversion LNA ADC
Conversion
receiver can make use of the high level Q LPF LPF
of integration for multiband receivers. ADC: analog-to-digital converter LNA: low-noise amplifier LPF: low-pass filter
Issues for the direct-conversion BPF: bandpass filter LO: local oscillator
receiver include DC offsets,
even-order distortion, carrier leakage, ▲Figure 5. Direct-conversion receiver architecture.

12 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P16
Design of Software-Defined Down-Conversion and Up-Conversion: An Overview Special Topic
Yue Zhang, Li-Ke Huang, Carsten Maple, and Qing Xuan

LPF removes the Nyquist images and


DAC improves the noise floor. Then, the
P -Stage
CIC LPF complex analog I/Q signals are directly
Polyphase Polyphase CIC 90°
Intepolate Intepolate Upsample
Goarse
∑ modulated at RF band by the
Gain LO1
by D1:2:8 by D2:2:8 by
Control high-specification RF I/Q modulator
R:4-16383
[14]. A BPF centered at the desired
DAC
output frequency then removes the
CFIR G(z) PFIR H(z)
image signals. A final PA can boost the
desired signal to a certain power level.
The most significant advantages of
the direct-conversion transmitter are its
PA versatility, flexibility, spectral efficiency,
and low complexity. These make the
LO2
DPA BPF BPF transmitter simpler than the
CFIR: compensation finite DAC: digital-to-analog converter PFIR: programmable finite impulse super-heterodyne transmitter. Small
impulse response filter LO: local oscillator response filter
CIC: cascaded integrator comb LPF: low-pass filter
chip and circuit size and low power
consumption can be achieved with a
▲Figure 6. Super-heterodyne conversion transmitter architecture. direct-conversion transmitter
architecture.
provides a sampling rate that is overall signal level can be controlled at Direct-conversion transmitter
increased (in step 2) from 1 to 8. The IF band. Therefore, it is much easier to architecture is mostly used in
filter also performs Nyquist pulse design a high-quality variable-gain multimode communication systems. By
shaping for the transmitter. Second, a amplifier at IF band. However, such an duplicating the hardware for each
programmable FIR filter, PFIR H(z), amplifier has the same high complexity channel and each standard, a
increases the sampling rate by 2 and as the super-heterodyne receiver. multimode radio DUC can be
compensates for the passband Super-heterodyne transmitter implemented.
distortion created by the third-stage architecture is therefore mostly used for Although direct-conversion
cascaded integrator comb (CIC) filter. wireless measurement instruments or architecture reduces the complexity of
G(z) and H(z) are implemented with backhaul wireless communication. the transmitter design, it has some
efficient multiplier-accumulator (MAC) serious drawbacks, including carrier
blocks. Third, the P-stage CIC 3.2 Direct-Conversion Transmitter leakage and phase gain mismatch.
interpolation filter increases the A direct-conversion transmitter has a Gain may need to be controlled at RF.
sampling rate from 4 to 1448. The simplified version of the The architecture also requires a PA with
design parameters of the CIC allow for super-heterodyne transmitter good linearity [15].
the synthesis of a circuit that has fixed architecture [13] (Fig. 7). The design of
sampling-rate increases or one where the digital domain has exactly the same
sampling-rate increases are architecture as the super-heterodyne 4 Conclusion
programmable in real-time. Fourth, the transmitter. The two DACs convert the In this article, we have reviewed
CIC coarse-gain control block is digital signal into analog. The following receivers and transmitters used in SDR
needed to control the power distortion
I
caused by CIC filtering. Finally, the DAC
complex data stream from the filtering P -Stage
CIC LPF
Polyphase Polyphase CIC 90°
stages is converted into an analog I/Q Intepolate Intepolate Upsample
Goarse

signal. In the analog domain (after by D1:2:8 by D2:2:8 by
Gain LO1
Control
DACs), the signal is modulated at an IF R:4-16383
DAC
and is amplified and filtered to eliminate Q CFIR G(z) PFIR H(z)
harmonics generated during
modulation. The signal is then
up-converted into RF by the second
LO. This RF signal is filtered by another
BPF to remove the image signal and is PA
boosted by an RF PA [12].
A super-heterodyne transmitter BPF
architecture has two advantages. The CFIR: compensation finite DAC: digital-to-analog converter PFIR: programmable finite impulse
impulse response filter LO: local oscillator response filter
I/Q modulator works at IF band, which CIC: cascaded integrator comb LPF: low-pass filter
means the circuit is much easier to
design than at RF band. Also, the ▲Figure 7. Direct-conversion transmitter architecture.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 13

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P17
Special Topic Design of Software-Defined Down-Conversion and Up-Conversion: An Overview
Yue Zhang, Li-Ke Huang, Carsten Maple, and Qing Xuan

front-ends. Advantages and [7] B. Razavi.“Design considerations for transmitter/receiver cyclic delay diversity,”in IEEE
direct-conversion receivers,”IEEE Trans. Circ. Trans. Broadcasting, vol. 52, no. 4, Dec. 2006, pp.
disadvantages of each design have Syst. II: Analog and Digital Signal Processing, vol. 464-474.
also been analyzed. A well-designed 44, no. 6, pp. 428-435. [12] F.H. Raab, P. Asbeck, S. Cripps, P.B. Kenington, Z.
[8] R. Svitek and S. Raman,“DC offsets in direct B. Popovic, N. Pothecary, J.F. Sevic and N.O.
architecture for multiband multimode conversion receivers: Characterization and Sokal,“RF and microwave power amplifier and
receivers and transmitters should allow implications,”IEEE Microw. Mag., vol 6, no.3, transmitter technologies-Part 3,”High Frequency.
hardware resources to be optimally pp.76-86. Electronics., vol. 2, no.5, pp.34-46, Sept 2003.
[9] Fa-Long Luo (Ed.), Mobile Multimedia [13] A. Loke and F. Ali,“Direct conversion radio for
shared. The architecture should make Broadcasting Standards: Technology and Practice. digital mobile phones—Design issues, status, and
use of software-controlled components Dordrecht: The Netherlands: Springer, 2008. trends,”IEEE Trans. Microw. Theory Tech., vol. 50,
[10] Yue Zhang, J. Cosmas, K.K Loo and M. Bard, no.11, pp. 2422-2435, Nov. 2002.
and software-programmable devices. “Design and implementation of digital echo [14] G-T Gil,“Non-data-aided I/Q mismatch and DC
A direct-conversion approach was cancellation on-channel repeater in DVB-T/H offset compensation for direct-conversion
used in the transmitter and receiver networks,”in 57th Annu. IEEE Broadcast Symp., receivers,”IEEE Trans. Signal Processing, vol. 56,
Washington DC, 2007. no. 7, Jul. 2008, pp. 2662-p2668.
front-end for implementation in most [11] Yue Zhang, J Cosmas, M. Bard, and Y.H Song, [15] F. Ellinger. Radio Frequency Integrated Circuits
commercial wireless systems. “Diversity gain for DVB-H by using and Technologies. New York: Springer, 2007.
Direct-conversion is the most
appropriate architecture because it is Biographies
low cost, consumes little power, and Yue Zhang (yue_zhang@ieee.org) is a senior lecturer in the Department of Computer Science and Technology,
has a high-data-rate radio interface. University of Bedfordshire. He received his B.Eng. and M. Eng. degrees in 2001 and 2004 from Beijing University
of Post and Telecommunications. In 2008, he received his Ph.D. degree from Brunel University — where he also
However, system designers need to worked as a research engineer for the EU IST FP6 project, PLUTO. He was responsible for transmitter and receiver
pay more attention to I/Q imbalance, diversity design and measurement for DVB-T/H systems as well as RF/Digital/DSP design for on-channel
repeaters. After 2008, Dr. Zhang worked as a signal processing design engineer in Anritsu. He was responsible for
carrier leakage, and DC offset in a RF/IF, digital and DSP design for wireless communication systems.
direct-conversion receiver and
transmitter system. Li-Ke Huang (like.huang@gmail.com) is a technical expert and algorithms group leader at Aeroflex UK. He
develops test and measurement technologies for wireless system engineering and specializes in transceiver
algorithm and architecture designs for the main wireless standards. He is responsible for new product features
and new technology developments. He received his B.Sc. degree in electronic engineering from Shenzhen
University in 1998 and his Ph.D. degree in communication and signal processing from Imperial College London in
2002.
References
[1] Fa-Long Luo (Ed.), Digital Front-End in Wireless Carsten Maple (carsten.maple@beds.ac.uk) is pro-vice-chancellor of research and enterprise at the University
Communications and Broadcasting. New York: of Bedfordshire. He received his B.Sc. degree in mathematics and his Ph.D. degree in numerical analysis from the
Cambridge University Press, 2011. University of Leicester. He is a member of the IEEE, and a fellow of the FBCS and CITP. He heads the Center for
[2] V. Giannini, J. Craninckx, and A. Baschirotto, Research in Distributed Technologies (CREDIT) at the University of Bedfordshire, which has 40 staff and Ph.D.
Baseband Analog Circuits for Software Defined researchers. His research interests include information security, trust and authentication in distributed systems,
Radio. Dordrecht: The Netherlands: graph theory, and optimization techniques. He has led a number of research projects in these areas with funding
Springer-Verlag, 2008. totaling of more than-million from UK EPSRC, EU, and the Department of Trade and Industry (DTI). He has been
[3] F. Harris, Multirate Signal Processing for editor or guest editor for several international journals. He has been chairman and session chairman for a number
Communication Systems. New Jersey: Prentice- of international conferences. He has also been invited to present keynote speeches to various international
Hall, 2008. conferences. Dr. Maple has published over 70 papers internationally and has been invited to talk on security,
[4] Behzad Razavi. RF microelectronics. New Jersey: robotics, and applied computing on UK radio and television.
Prentice-Hall, 1998.
[5] A. Abidi,“The path to the software-defined radio Qing Xuan (jasx@talk2-1.com) received her Ph.D. degree in power and energy from the University of Bath in
receiver,”in IEEE J. Solid-State Circuits, vol. 42, 1995. She has more than 20 years’experience in the telecom, energy, finance, and aviation sectors. Since March
no.5, pp. 954-966, May 2007. 2009, she has co-founded two companies. From January 2005 to March 2009 she was a business strategy
[6] V.Giannini, P. Nuzzo, C.Soens, K.Vengattaramane, director for Huawei Technologies. Her responsibilities included working with the minister and regulator to develop
M.Steyaert, J.Ryckaert, M.Goffioul, B.Debaillie, J. markets and introduce investor partners to clients. She also provided supply chain consultancy services to
Van Driessche, J.Craninckx, and M.Ingels, secured-business clients, including M&A Technology Company. From 2000 to 2004, Dr. Qing Xuan was a
“A 2 MM2 0.1-to-5 GHz SDR receiver in 45 nm commercial and technical architect at Vodafone Group. From 1998 to 2000, she was as design engineer for
digital CMOS, ”in IEEE J. Solid-State Circuits, vol. Panasonic. From 1995 to 1998, she was post-doctoral researcher in the Power Group at the University of Bath.
44, no. 12, Dec. 2009, pp. 3486-3498. From 1987 to 1991, she was deputy director of the Telecom Department at the Ministry of Power and Water, China.

⬅ From P.02 National de la Recherche Scientifique-Énergie Matériaux et


Mikko Valkama is full professor and department head of the Department Télécommunications, Montréal, and is now associate professor at that
of Communications Engineering at Tampere University of Technology institute. His current research interests include millimeter-wave circuit
(TUT), Finland. He has been involved in organizing conferences such as design, hardware and software radio receivers, radar, and sensor systems.
the IEEE SPAWC'07 in Helsinki. He was awarded Best Ph.D. Thesis by the
Finnish Academy of Science and Letters. His research interests include Tomohisa Wada received his B.S. degree in electronic engineering from
communications signal processing, estimation and detection techniques, Osaka University in 1983. He received his M.S.E.E. degree from Stanford
signal processing algorithms for software defined flexible radios, and University in 1992 and his Ph.D. degree in electronic engineering from
signal processing for cognitive radio. He is also interested in digital Osaka University in 1994. He joined the ULSI Laboratory, Mitsubishi
transmission techniques, such as different variants of multicarrier Electric Corp. in 1983. Since 2001, he has been a professor at the
modulation methods and OFDM, and radio resource management for Department of Information Engineering, University of the Ryukyus,
ad-hoc and mobile networks. Okinawa. In 2001, he was the founding member of Magna Design Net Inc.,
an LSI design company for communication-related digital signal
Serioja Ovidiu Tatu received his M.Sc. and Ph.D. degrees in electrical processing such as OFDM. Currently, he is chief scientist at Magna Design
engineering from the École Polytechnique, Montréal, in 2001 and 2004. Net Inc. and researches terrestrial video broadcasting, wireless LAN, and
From 2004 to 2005, he was a post-doctoral researcher at the Institut WiMAX.

14 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P18
Practical Non-Uniform Channelization for Multistandard Base Stations Special Topic
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

Practical Non-Uniform
Channelization for Multistandard
Base Stations
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell
(Callan Institute, Electronic Engineering Department, National University of Ireland, Maynooth (NUIM), Ireland)

Abstract: A Multistandard software-defined radio base station must perform non-uniform channelization of multiplexed frequency
bands. Non-uniform channelization accounts for a significant portion of the digital signal processing workload in the base station
receiver and can be difficult to realize in a physical implementation. In non-uniform channelization methods based on generalized DFT
filter banks, large prototype filter orders are a significant issue for implementation. In this paper, a multistage filter design is applied to
two different non-uniform generalized DFT-based channelizers in order to reduce their filter orders. To evaluate the approach, a TETRA
and TEDS base station is used. Experimental results show that the new multistage design reduces both the number of coefficients and
operations and leads to a more feasible design and practical physical implementation.

Keywords: SDR; non-uniform; channelization; base station; TETRA

In software-defined radio (SDR), upgrading to future standards.


1 Introduction analogue-to-digital and In an SDR base station (Fig. 1), the

R
adio spectrum is typically digital-to-analogue conversion is wideband uplink, which comprises all
allocated using coarse-grained performed as close as possible to the the mobile station channels, is digitally
frequency division multiplexing. antenna [2]. Most of the radio converted immediately after the RF
Different radio standards are components, now in the digital domain, front-end. Multirate digital signal
allocated independent and are implemented on a reconfigurable processing (DSP) is then used to filter
non-overlapping frequency bands that platform. Reconfigurability makes SDR and shift to baseband all the
are reserved exclusively for each particularly suitable for working with independent information channels. On
standard. This approach simplifies the multistandard systems and for the transmitter side, complementary
radio design because each standard
operates in isolation; however, available
spectrum is not used optimally because Downlink
reserved bands may be under-used MS1
x 0( n )
some of the time. A more efficient Wideband MSK 1 -1
x 1(n ) MS0
alternative is to allow multistandard Downlink
Mobile

Synthesis
multiplexing of the frequency band. The x K-1(n ) Standard 1 MS1
Uplink
frequency band is instead multiplexed y 0( n )
MSK 2-1
Wideband MS1
among multiple radio standards [1]. y 1(n ) Uplink
MS0
Mobile
Channels within the frequency band are

Channelizer MSK J -1 Standard 2


y K-1(n ) MS0
dynamically allocated to the radio Base Mobile
Standard J
standards. If these channels do not Station
have uniform bandwidth or center
frequencies, as is typical for ▲Figure 1. Asymmetric system formed by SDR multistandard base station and single-carrier
heterogeneous radio standards, then based mobile stations that use different mobile standards and uplink and downlink frequency
dynamic allocation is challenging. bands.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 15

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P19
Special Topic Practical Non-Uniform Channelization for Multistandard Base Stations
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

processing is carried out. Extraction of techniques, parallel generalized invested heavily in TETRA V&D
the uplink channels (channelization) is a DFT-FB (GDFT-FB) and recombined networks, so it is important that any
bigger challenge than downlink GDFT-FB, by applying multistage future updates are compatible with
synthesis because more stringent filtering. We then evaluate these these legacy networks.
filtering is required to avoid adjacent modified FBs in TETRA and TEDS base For all PMR standards, including
channel interference. stations and compare their filter lengths TETRA and TEDS, the permitted
Different non-uniform channelization and computational loads with those in channel centre frequencies, fc (n ), are
techniques have been described in [4]. Finally, the effect of multistage defined by
[3]-[5]. In many cases, these design on the physical implementation
techniques are based on combining or of the channelizer is considered. fc (n ) = band _ edge + (n - 1 ) Δfc (1)
2
altering efficient uniform channelization Section 2 describes the non-uniform
methods, such as uniform complex channelization requirements of a base where n is the channel number, band
discrete Fourier transform (DFT) station, specifically, TETRA and TEDS edge is the lower-edge frequency of
modulated transmultiplexers and tree base stations and their possible the multiplexed frequency band, and Δ
quadrature mirror filter banks (TQMFB) updates. Section 3 describes the fc is the channel spacing [10]. The
[6]. In these filter bank (FB) based classic implementation of the two available spectrum is divided into
structures, a synthesis bank multiplexes non-uniform channelization methods frequency sub-bands that are equal to
the channel frequency at the transmitter analyzed in this paper. Designs for the channel spacing, and channels are
side, and an analysis bank performs for methods to be used in TETRA and centered within each sub-band.
equivalent channelization in the TEDS base stations are given. Section 4 In common hardware, the
receiver. Such a structure is symmetric; describes a new multistage design for multiplexed frequency band solution
that is, amplitude and phase distortion the two non-uniform channelization requires fixed-channel allocation.
in one half of the FB are suppressed in methods, and improvements in design Because the hardware cannot be easily
the complementary half, allowing and implementation are also described. reconfigured, different sections of the
perfect reconstruction. This approach Section 5 concludes the paper. spectrum are allocated for different
includes multicarrier techniques such channel sizes. This solution has poor
as OFDM. In contrast, the system in 2 Frequency Multiplexed spectrum efficiency because the
Fig. 1 has an asymmetric design; that channel allocation is not optimized. The
is, the uplink signal channelized by the
Spectrum for two SDR-based approaches described
analysis bank is not created by a Next-Generation PMR here allow reconfiguration so that
complementary synthesis bank. The There are two main releases of channels can be dynamically allocated,
system comprises the transmissions of TETRA: TETRA voice and data (V&D) and channels of different sizes can be
independent mobile stations that are and TEDS. The former supports 25 kHz adapted as required. This means that
assumed to use single-carrier channels that are mainly allocated in spectrum can be used more efficiently.
transceivers. The downlink is similarly the 380-400 MHz frequency band. The To test these non-uniform
asymmetrical. This structure allows the latter was approved by the ETSI in 2005 channelization structures, we created a
base station to be compatible with and supports wideband services using scenario where the standard
legacy systems. A professional mobile 50, 100, and 150 kHz channels. 380-400 MHz PMR band was shared
radio (PMR) base station using the However, the maximum throughput by TETRA 25 kHz and TEDS 25, 50, and
terrestrial trunked radio (TETRA) using TEDS is not enough for real-time 100 kHz channels. In this band, the
standard or its high-speed derivative, applications. Therefore, the addition of 5 MHz between 380-385 MHz was
TETRA enhanced data service (TEDS) a broadband communication system reserved for the uplink signal, and the
[7], is a good application of this similar to one used in commercial 4G 5 MHz between 390-395 MHz was
architecture. mobile communications is being used for the downlink signal. TEDS
In an asymmetric system, subcarriers investigated [8]. 150 kHz channels were not considered,
and aliasing overlap because of In a legacy base station, the antenna but the procedure can be extended to
down-sampling in the analysis bank. system can only cover 380-400 MHz, 150 kHz channels.
The overlap must be minimized by and adding extra antennas can be
high-order filters [4], and these high problematic because of 3 Non-Uniform
orders are a bottleneck in the design electromagnetic restrictions in different
and physical implementation of the countries [9]. As a result, a
Channelization Based on
channelizer filters. Multirate DSP multistandard multiplexed frequency GDFT-FB
techniques, such as multistage filtering, band has been proposed in which Channelization methods can be
can significantly reduce the filter orders TETRA and TEDS channels share the uniform or non-uniform depending on
for more practical implementation. In 380-400 MHz band instead of their capacity to filter channels that
this paper, we compare two occupying different bands. Prior to have equal or different bandwidths
non-uniform channelization TEDS being released, countries within the same frequency band.

16 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P20
Practical Non-Uniform Channelization for Multistandard Base Stations Special Topic
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

Uniform channelization using where Ei are the polyphase processed through different critically
polyphase DFT-FBs has been components [6]. The rest of the decimated odd-stacked GDFT-FBs
proposed for real-world applications sub-filters, Hk (z ), expressed in (2) can operating in parallel. Each FB uniformly
that have a large number of (uniform) then be obtained from divides the frequency band according
channels. Various methods have also K-1
to specific channel spacing, and the
-(k+k 0)n 0 -ki -k 0 i -k 0k
been proposed for non-uniform Hk (z )=WK Σz -iWK WK Ei (z K·WK ). (5) FBs have overlapping frequency. In
i =0
channelization [3], [5], [11]-[12]. [15], the transmitter (synthesis) side is
However, methods based on uniform The general implementation of the described, and in [4], the receiver
DFT-DB are particularly attractive analysis GDFT-FB for even- and (analysis) side is described.
because of its low implementation odd-stacking cases is shown in Fig. 2. In the parallel GDFT-FB channelizer,
complexity. From (5), the different complex the digitized wideband signal with
Rather than using DFT-FB directly exponentials are applied to the different sample rate fS , is fed into multiple filter
[6], GDFT-FB is used. GDFT-FB gives branches in order to obtain the desired banks running in parallel. There is one
additional control over channel sub-band stacking and phase shifts. filter bank for each uniform channel
The complex exponentials, WK and
-k k
frequency allocation and phase 0
frequency plan. Narrowband channels
WK , can be directly hard-coded into
-k i
response because it has two are extracted by selecting an
0

parameters in the subfilter complex the polyphase components of the filter appropriate subset of outputs from
bank, and WK denotes the DFT
-k i
modulation [13]. In the filter bank, k each filter bank. Any permissible
algorithm. After the DFT, WK
-k nD
filters are obtained by complex is combination of channels can be
0

modulation of the lowpass prototype applied in order to present the different specified by choosing the appropriate
filter, H (z ): outputs, yk (n ), centred at DC. Finally, filter banks and channel numbers.
WK
-(k +k )n
-(k+k 0)n 0 (k+k 0)
0 0
is applied to the outputs for Changing channel allocation in
H k (z ) =W K H (zW K ) (2) phase shift purposes. Depending on real-time does not require redesign or
where Wk = exp(j 2π/K ), and K is the the values of the decimation factor, D, re-optimization of the filter bank
number of sub-bands of the analysis the filter bank can be critically sampled structure. Only selection of appropriate
bank. The parameter k 0 determines the (K =D) or oversampled (K = LD), where outputs needs to be adapted.
way different sub-bands are stacked L denotes the oversampling factor. The Fig. 3 shows the parallel GDFT-FB
on the spectrum, and n 0 determines the main benefit of an oversampled FB is for the 5 MHz uplink band of a
phases of the different channels. If that aliasing due to decimation is TETRA/TEDS base station. In this band,
k 0 = 0 and n 0 = 0, the sub-band significantly reduced [14]. However, an there are 200 channels for TETRA V&D
spectrum allocation is even-stacked, oversampled FB has additional and TEDS 25 kHz. For TEDS 50 kHz,
and the first sub-band is centred at computational load because it runs at a there are 100 channels, and for TEDS
DC. In this case, the GDFT-FB higher sample rate (by a factor of L). 100 kHz, there are 50 channels. DFT
structure is reduced to the classic modulation is implemented using a
DFT-FB. If k 0 = 1/2 and n 0 = 0, the 3.1 Parallel GDFT-FB power-of-2 FFT for efficiency; thus, the
spectrum allocation is odd-stacked; A parallel GDFT-FB is proposed in filter bank sub-bands cover a
that is, the sub-bands are shifted half [4], [15] as a non-uniform bandwidth larger than the frequency
the channel spacing, and no channel is channelization solution for base band containing the information
centred at DC. An odd-stacked stations. The wideband signal is channels. The excess sub-bands
approach is used in the proposed
non-uniform designs because it meets WK -k nD WK
0 -(0+k )n
0 0

the channel allocation restrictions x (n ) y 0(n )


• D E 0(z LWK -k K ) 0

defined by (1). Different values of n 0


can be chosen to provide extra phase z -1 WK -k nD WK
0 -(1+k )n
0 0

shifts to the FB outputs. In the E 1(z LWK -k K ) y 1(n )


D 0

even-and odd-stacked cases, the


sub-band spacing is z -1 WK -k nD WK
0 -(2+k )n
0 0

DFT y 2(n )
Δf = fs /K (3) D E 2(z LWK -k K ) 0

where fs is the sampling frequency of


the wideband multichannel analysis z -1
bank input signal.
D E K-1 (z LWK -k K ) y K-1(n )
The prototype filter, H (z ), may be
0

WK -k nD WK
-(k-1+k )n
decomposed into its polyphase
0 0 0

components according to DFT: discrete Fourier transform


K-1
H (z ) = i Σ
=0
z Ei (z )
-i K
(4) ▲Figure 2. Generalized DFT analysis filter bank structure.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 17

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P21
Special Topic Practical Non-Uniform Channelization for Multistandard Base Stations
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

1 Nulls
TETRA


28 Critically Decimated
and Channels
TEDS 30 Sub-Band #29


25 kHz
29
256-Band 228
GDTF-FB 229
Nulls


256 -12.5 kHz 0 12.5 kHz
Non-Uniform Input
1 Nulls


TEDS 14
29 30 16 9 50 kHz 15
Nulls Nulls 16


Sub-Band #16

-f s /2 -2.5 MHZ 2.5 MHz f s /2 128-Band 114


GDTF-FB -25 kHz 0 25 kHz
115
Nulls


128
1 Nulls


TEDS 7 9
100 kHz 8 Sub-Band #19

-50 kHz 0 50 kHz


GDFT-FB: generalized discrete Fourier transform filter bank …
64-Band 57
◀Figure 3.
TEDS: TETRA enhanced data service
GDTF-FB 58
TETRA: terrestrial trunked radio Nulls

64 Parallel GDFT-FB
channelization structure.

outside the 5 MHz bands are some constraints, the recombined output of the GDFT-FB onwards:
permanently null. GDFT-FB structure is a more flexible
R -1
For the input signal in Fig. 3, starting non-uniform channelization method. Y k,R (z ) = rΣ=0 e jφ Yk+r (z M )HM (ze-jβ ).
r r
(6)
from the lower edge
(-2.5 MHz), the first two TETRA/TEDS 3.2 Recombined GDFT-FB Therefore, channels are recombined
25 kHz channels are extracted from A non-uniform recombination FB first by interpolating each of the R
branches 29 and 30, respectively, of divides a signal into uniformly spaced sub-bands by a factor M, frequency
the first (25 kHz) FB. The next channel sub-bands and then recombines shifting by βr to the correct center
in the multiplexed spectrum, a 50 kHz certain groups of sub-bands to form frequency, and phase correcting by φr
TEDS channel, is selected as branch 16 wider bandwidths that are an integer in order to combine these shifted
of the second (50 kHz) FB. Branch 15 multiple of the uniform spacing in-phase channels. To minimize
refers to the same frequency range as (Fig. 4a). The sub-band bandwidth is amplitude distortion in the recombined
branches 29 and 30 of the 25 kHz FB. the granularity band, and chosen channels, an
Similarly, the next channel in the according to the application amplitude-complementary prototype
multiplexed spectrum, a TEDS 100 kHz requirements. filter is required [19].
channel, is selected as branch 9 of the Non-uniform, recombined FBs have Because the GDFT-FB outputs are
third (100 kHz) FB. been proposed in literature on audio already oversampled by a factor L, the
In the parallel GDFT-FB, the design and speech processing [16]. In these interpolation factor can be M =R/L.
can be critically decimated or applications, the FBs are critically Hence, the frequency and phase shifts
oversampled. The critically decimated decimated, so a perfect reconstruction are, respectively,
design has more aliasing from adjacent algorithm with parameter optimization is
bands, whereas an oversampled needed to cancel the resulting aliasing. βr = π+ π (2r +1) for r = 0, ..., R -1 (7)
R
design has a higher computational However, because of the asymmetric
load. The level of aliasing interference configuration shown in Fig. 1, such an φr = -( MN + NM ) βr for r = 0, ..., R -1 (8)
that can be tolerated depends on the algorithm is not possible. 2D 2D
radio standard specifications. Oversampling ensures that aliasing in where NM is the order of the
Parallel configuration is not the best the transition bands of the sub-band interpolation filter, and N is the order of
solution when the channel spectrum is bandpass filters is less than that in the the GDFT-FB prototype filter. To
not allocated according to (1), that is, critically sampled case. Non-uniform improve the structure in Fig. 4(b), the
when the possible channel center channelization using recombined, phase shift, φr , can occur before the
frequencies are not constrained to be a oversampled FBs has been proposed interpolation. This means the phase
multiple of the channel spacing. In this in [4], [17], [18]. Recombination is shift is carried out at a lower sample
case, additional GDFT-FBs must be carried out by the structure shown in rate than the anti-image filtering and
added to the parallel structure so that Fig. 4(b). A recombined signal, denoted frequency shift.
each center frequency can be covered Y k,R (z ), is formed by R contiguous The FB is designed to cover an uplink
by at least one GDFT-FB. Even with sub-bands allocated from the k th frequency band of 5 MHz and deliver

18 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P22
Practical Non-Uniform Channelization for Multistandard Base Stations Special Topic
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

fundamental channelization complex exponential signal. If the


0 capabilities when applied to GDFT-FB is oversampled, L > 1. The
1 Recomb. y 0,R (n ) channels whose number of arithmetic operations due to

R -1 bandwidths are related by the K-point FFT could be reduced by
y R (n )
integer multiples of each using more efficient FFT algorithms,

k other. In these such as the split-radix FFT algorithm.


x (n ) K -Band
y k,R (n ) circumstances, parallel However, power-of-2 FFT algorithms,

GDFT-FB Recomb.
k +R GDFT-FB is best for such as the radix-2 and radix-4, are
y k +R +1 (n ) schemes with few possible preferred for practical implementation
y k +R +2 (n ) channel bandwidths and on FPGAs [21].
alignment patterns; for For the parallel GDFT-FB, the

example, TETRA/TEDS only computational load is the sum of the


y K -2 (n ) has 3 such bandwidth and computational loads of each
K -1 y K -1 (n )
alignment patterns. component GDFT-FB. Because all the
(a) However, recombination is GDFT-FBs run in parallel all the time,
yk (n ) e jβ n e jφ
0 0 best for schemes with a the total computational load is constant
M HM (z ) greater variety of channel regardless of the channel allocation
e e y k,R (n ) bandwidths and alignment pattern.
jβ n jφ
yk+1(n ) 1 1

M HM (z ) Σ patterns. By decreasing the In comparison, the computational


granularity bandwidth of the load of the recombined GDFT-FB


yk+(R -1)(n ) e jβ n
R -1
e jφ
R -1

M HM (z ) recombined GDFT-FB comprises a fixed part that corresponds


sub-bands, a wider range to the oversampled GDFT-FB structure
(b) of recombined bandwidths and a variable part, whose complexity
GDFT-FB: generalized discrete Fourier transform filter bank
is possible. Also, a wider depends on the number of recombined
▲Figure 4. Recombined GDFT-FB channelizer (a) structure, range of center frequencies channels. The additional number of real
(b) detail on the recombination structure. is possible for applications multiplications and additions per input
that do not necessarily sample in each recombination structure
narrowband outputs with bandwidth conform to (1). (Fig. 4) are given by (11) and (12),
and channel spacing of 25 kHz. Channelization structures respectively:
However, the sample rate of the dynamically filter channels with different
L N +1 4
narrowband channels is twice the bandwidths; however, these structures μRECOMB = [M ×R (2 l +4 + )] (11)
K 2 M
channel spacing, that is, K = 2D, can be differentiated according to
because of oversampling. In this computational load, filter design L 2
αRECOMB = [M (R (2Nl + 2 + )
particular case, the bandwidths complexity, and filter implementation K M
+2(R -1))] (12)
covered are multiples of each other, complexity.
and the chosen granularity band is For complex I and Q input samples, where R is the number of sub-bands to
25 kHz. Consequently, the TETRA/ the number of real multiplications and be recombined into a wider channel, M
TEDS 25 kHz channels may be additions for each complex input is the interpolation factor required for
selected directly, without any sample in an analysis or synthesis recombination, and NI is the order of
recombination, from the appropriate odd-stacked GDFT-FB are, the anti-alias filter required in the
output sub-bands of the GDFT-FB. respectively, interpolation. To make the evaluation
For the wider 50 kHz and 100 kHz more concrete, the TETRA and TEDS
L
channels, adjacent output sub-bands μGDFT = [4(N +1) specifications are applied to both the
K
of the FB must be recombined using the K parallel GDFT-FB and recombined
+4( (log2(K )-1))+4K ] (9)
structure in Fig. 4. TEDS 50 kHz 2 GDFT-FB. The specifications require a
channels are obtained by recombining L K stop-band rejection of 55 dB for
αGDFT = [4(N +1- )
two outputs. The channels do not K 2 sufficient channel selectivity. A
require additional interpolation prior to 3K passband ripple of 0.1 dB is selected to
+2( (log2(K )-1))+2K ] (10)
frequency shifting and combining 2 minimize the amplitude distortion. The
because of the original oversampling. A where the first term is the number of length of the FIR prototype filters used
TEDS 100 kHz channel is obtained by arithmetic operations for the N-order in both channelization structures is
interpolating each channel by 2 and complex-valued prototype filter, the calculated using [22], and the
then frequency shifting and combining. second term is the number of arithmetic Parks-McClellan equiripple algorithm is
operations due to the complex-valued used [23]. The asymmetric system
3.3 Evaluation of Channelizers K-point radix-2 FFT [20], and the last design in Fig. 1 creates aliasing in the
The parallel GDFT-FB and term is the number of arithmetic channels of the receiver analysis bank,
recombined GDFT-FB have the same operations due to multiplication by a which is minimized by tight filter

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 19

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P23
Special Topic Practical Non-Uniform Channelization for Multistandard Base Stations
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

specifications. This aliasing is the filter transfer function [25]. In an FIR Component filters have more relaxed
especially significant in the critically filter, these changes can lead to specifications than the original filter.
sampled case [14]. deviations in the magnitude response Therefore, the number of coefficients in
To approximate the order of the (particularly the stop-band each component filter is smaller (often
different filters, the following equation is attenuation), and this renders the filter much smaller) than the original filter.
used [24]: unsuitable for certain applications. The The design of each component filter is
problem is greater with high-order also simplified, and the total
-20log10( δpδs )-13
N≈ . (13) filters because quantization errors computational load is often less than
14.6[(ω stop -ω pass )/2π]
affect the position of filter zeros in the the original filter.
The filter order is a function of the z-domain, and the distance between Here, we show that multistage
passband ripple, δp , stop-band zeros is reduced. Therefore, the filtering can be extended to modulated
attenuation, δs , and normalized frequency response of high-order FBs and, in particular, to the GDFT-FB.
transition bandwidth (ω stop , - ω pass) filters is more sensitive to small In FB literature, the term multistage
where ω = 2πf /fs .Consequently, for the changes in the zero positions than the typically refers to a structure in which
same values of δp , δs , f pass, and f stop , the frequency response of low-order filters. multiple FB stages are cascaded to
sample frequency, fs , determines the form a complete FB [5], [27]. In the
normalized transition band and filter approach presented here, the
order. For channelizers with a large
4 Modified GDFT-FB for multistage technique is applied to the
number of channels, the sample Filter Coefficient Reduction prototype filter of only one FB.
frequency of the wideband signal is Although the parallel GDFT-FB and There are various ways in which the
much larger than the normalized recombined GDFT-FB are flexible and multistage technique could be applied
transition band, and this leads to very more efficient than other structures, to the prototype filter. The specification
high orders. high filter orders are still required for of the prototype filter, H (z ), and its
When applied to the TETRA and TETRA/TEDS. For high-order polyphase components, is relaxed, and
TEDS standards, the 25 kHz prototype channelization structures and, more a half-band filter,HB (z ), is applied to
filter for both channelizer structures has generally, in communication every sub-band output to obtain the
an order of 8085 taps. For the parallel applications, FIR filters are chosen original filtering specifications. This
GDFT-FB, the required prototype filter because of their linear phase response. design is shown in Fig. 5.
orders for the 50 kHz and 100 kHz FBs To achieve a linear phase response in In the single-stage design, the
are 3584 and 1444 taps, respectively. an FIR filter of length N +1, where N is prototype filter transition band is narrow
However, these filter orders are only the order, (N +1)/2 coefficients and centered at π/K. In the multistage
theoretical; the actual filter orders may contribute to the magnitude response of design, the prototype filter transition
be higher [4] because interchannel the filter, and the other half of the band is shifted so that it starts at π/K
interference and aliasing produced by coefficients provide the linear phase and its width is increased so that it
decimation in the analysis bank causes property [22]. As a consequence, an extends to 2π/K and includes
the filters’frequency response to FIR filter design generally requires a frequency components from adjacent
deteriorate [14]. As the number of larger number of coefficients compared channels. The half-band filter on each
channels increases, aliasing also with other designs. For applications sub-band output provides the original
increases, and additional filter where perfect linear phase response is sharp transition band and also
overdesign is required. not required, a minimum-phase FIR or eliminates the undesired frequency
Each GDFT-FB requires only one infinite impulse response (IIR) filter can components from the adjacent
prototype filter design; however, in the provide a functionally equivalent channels passed by the relaxed
case of TETRA/TEDS, the large filter magnitude response with a reduced prototype filter. This filtering process is
orders make designing the filter and number of filter coefficients. shown in Fig. 6.
implementing the corresponding When linear phase response is For a multistage GDFT-FB, the FB
channelizer structures impractical. required, multistage filtering [26] is a cannot be critically decimated, but it
Large filter orders can be expected for useful technique that can be applied to has to be oversampled by 2, that is,
other radio standards with similar FIR filter design to reduce the total K = 2D. Oversampling prevents the
specifications. number of filter coefficients. Multistage undesired adjacent-channel
This impracticality arises because the filtering is most commonly applied to frequencies from aliasing with the
efficient implementation of a filter in a interpolators and decimators that have channel of interest. Also, oversampling
reconfigurable hardware platform large sample rate conversion factors. In by 2 allows the use of half-band filters.
typically requires fixed-point a multistage filter design, an original This is attractive because half-band
representation of filter coefficients. filter is factorized into multiple filters have desirable impulse and
Coefficients must be quantized to the component filters which, when frequency response properties in
word length of the device, and the cascaded, produce the original filter Fig. 7(a). Half-band filters have a
resulting quantization error may change magnitude and phase response. symmetric impulse response where

20 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P24
Practical Non-Uniform Channelization for Multistandard Base Stations Special Topic
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

and (10), the number of real


WK -k nD WK
-(0+k )n
multiplications and additions per
0 0 0

x (n ) y 0(n ) z 0(n )
• D E 0(z LWK -k K )
0 HB (z ) complex input for the channelizer is
now determined by
z -1 WK -k nD WK
0 -(1+k )n 0 0

y 1(n ) z 1(n ) L K
D E 1(z LWK -k K ) HB (z ) μM -GDFT = [4(N +1)+4( (log2(K )-1))
K 2
0

(N +1)
z -1 +4K+2K B ] (14)
WK -k nD WK
-(2+k )n
8
0 0 0

DFT y 2(n ) z 2(n )


D E 2(z WK
L -k 0 K
) HB (z ) L K 3K
αM -GDFT = [4(N +1- )+2( (log2(K )
K 2 2
NB
-1))+2K+2K ] (15)
z -1 4
y K-1(n ) z K -1(n ) where NB is the order of the half-band
D E K-1 (z LWK -k K )0 HB (z )
filters.
WK -k nD WK
0 -(k-1+k 0)n 0
This multistage GDFT-FB can
DFT: discrete Fourier transform replace the single-stage GDFT-FB in
the parallel and recombined GDFT-FB
▲Figure 5. Multistage analysis GDFT-FB. A half-band filter is added after every sub-band output. channelization methods without any
significant changes being made to
these methods. For the recombined
H (e jω) GDFT-FB, the phase shift introduced in
the recombination structure shown in
◀Figure 6. Fig. 4 and described by (7) is now
multistage GDFT-FB changed to
filtering operations
ω
-π -4π/K -3π/K -2π/K -π/K DC π/K 2π/K 3π/K 4π/K π applied to one of the φr = -[M ( N + NB )+ NM ]β r
(a)
information channels. 2D 2 2
(a) GDFT-FB filtering for r = 0, ..., R -1 (16)
: Filters Magnitude the channel centered at
HB (e )

Response DC with relaxed which compensates for the changed


: Input Channels transition band. (b) phase response slope introduced by
Half-band filter the half-band filters on each sub-band
performing the sharp output.
filtering of the channel
ω
-π -π/2 DC π/2 π and eliminating the
frequency components 4.1 Channelizers Evaluation Using
(b)
from adjacent channels. multistage GDFT-FB
To demonstrate the advantages of
every second coefficient is zero; operations per second than in the reducing the coefficients in the
therefore, in implementation, only non-polyphase implementation. multistage design, the same
approximately 1/4 of the half-band filter By adding extra filter operations to (9) TETRA/TEDS examples used for the
coefficients have to be computed. In
hB [ n ]
terms of frequency response,
•0.5
half-band filters allocate the -6 dB
• •
point at exactly π/2 radians. This makes
them useful for recombined GDFT-FBs • •
• • • • • • n
because the magnitude • • 0 • • x (n )
-N /2 N /2 2 HB 0( z )
complementary property is easily
achieved. Further advantages can be HB (e jω) (dB) z -1
y (n )
0.5
gained from the two-band polyphase 0
2
implementation of the half-band filter z N B 1 /2
-6
using (4). This implementation allows
down-sampling to be performed
before the filtering. Also, because of the ω
zero-value coefficients, one of the DC π/2 π

polyphase branches is formed by a (a) (b)


pure delay and is followed by the
middle filter coefficient [23]. Combined, ▲Figure 7. (a) Half-band filter impulse and frequency response (b) Efficient polyphase
these two advantages lead to fewer implementation of half-band filter plus decimator.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 21

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P25
Special Topic Practical Non-Uniform Channelization for Multistandard Base Stations
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

▼Table 1. Filter orders calculated for TETRA/TEDS non-uniform channelizers using multistage equivalent parallel GDFT-FB in every
GDFT-FB situation. The reason for this is that
GDFT-FB Output Single-Stage Number multistage Number multistage filtering has a greater effect
Sub-Band Bandwidth (kHz) of Coefficients of Coefficients on the recombined GDFT-FB.
25 N = 8085 N = 1294, N B = 64 For the multistage channelizers, the
Parallel GDFT-FB 50 N = 3584 N = 595, N B = 58 filter orders were again obtained using
theoretical calculations (section 3.3).
100 N = 1444 N = 291, N B = 46
However, real implementations require
Recombined GDFT-FB 25 N = 8085 N = 1294, N B = 64 higher filter orders because of
GDFT-FB: generalized discrete Fourier transform filter bank undesired effects, such as aliasing, in
the FBs (Fig. 9). In Fig. 9, a channelizer
▼Table 2. Number of coefficients and computational load of the TETRA/TEDS non-uniform for eight 25 kHz channels was
multistage channelizers compared with single-stage design designed using the single-stage and
multistage multistage multistage GDFT-FBs. The theoretical
Parallel GDFT-FB Recombined GDFT-FB order for the single-stage prototype
Percentage of Coefficients Compared with Single-Stage Design 16.7% 16.0% filter was 253, whereas that for the
Percentage of Real Multiplications Compared with Single-Stage Design 70.6% 35.3%
multistage prototype filter was 42, and
the half-band filter order was 64. All
Percentage of Real Additions Compared with Single-Stage Design 92.3% 45.0%
filters were designed as optimal
GDFT-FB: generalized discrete Fourier transform filter bank equiripple filters.
Because of aliasing, the magnitude
two non-uniform channelization The test results are shown in Fig. 8. response does not exhibit 55 dB of
methods are used here. The theoretical The parallel GDFT-FB has a constant attenuation in the stopband for the
filter orders are calculated again using computational load that is independent single-stage design. For the multistage
(13). Even though the multistage design of the sub-band allocation pattern. On design, the cascade of the two filters
has two filters instead of one, it has two the other hand, the computational load produces decay in the stopband that
main advantages: its prototype filter is of the recombined GDFT-FB varies provides the desired attenuation in
designed with a much larger transition according to the number and type of most of the stopband. In the passband,
band, and the half-band filter is recombined channels. The load is at a both filters provide a ripple within the
designed for a much lower sample rate. minimum when the whole frequency 0.1 dB limits. To conform to the required
Both factors contribute to reducing the band is covered by 25 kHz channels specifications, an overdesign of the
filter orders shown in Table 1. because no recombining is required. ideal prototype filter is needed to
A reduction in the number of The load is at a maximum when the compensate for the aliasing. This
coefficients also implies a reduction in frequency band is fully occupied by usually leads to filter orders that are
the number of operations per complex TEDS 100 kHz channels; that is, larger than the theoretical orders,
input sample (Table 2). For the recombination is applied to every especially in the single-stage design.
multistage recombined GDFT-FB in GDFT-FB output sub-band. In contrast Optimization techniques are outside the
Table 2, the figures represent only the to the results obtained in [4], the scope of this paper, but
GDFT-FB part of the channelizer. The recombined GDFT-FB using multistage Kaiser-Window filters could possibly
structure used to recombine the TEDS filtering is more efficient than the be used instead of optimal equiripple
50 kHz and 100 kHz channels is the
same for both single and multistage 280
cases and is not included for that 260
reason. The saving on multistage
Real Multiplications per Input Sample

: Parallel FB
filtering computational load is greatest 240 : Recombined FB 25 kHz only
: Recombined FB 50 kHz only
in the recombined GDFT-FB. 220 : Recombined FB 50:50 split
The performance of the two : Recombined 100 kHz only
non-uniform channelizers can be 200

compared in terms of their total number 180


of real multiplications per sample for
different sub-band allocation patterns 160

[4]. Both architectures were tested in Figure 8. ▶


140
Performance of parallel
scenarios where the frequency band is
GDFT-FB and 120
covered with a single type of channel, recombined GDFT-FB
for example, 25, 50, or 100 kHz, or when multistage filtering 100
where it is covered by various types of is applied compared
0 10 20 30 40 50 60 70 80 90 100
Number of Wideband Channels (>25 KHz)
channels, for example, 50 and 100 kHz. with [20].

22 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P26
Practical Non-Uniform Channelization for Multistandard Base Stations Special Topic
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

10 shown in (5). For real filters, the


0 : Classic GDFT-FB real-value coefficients of the prototype
filter H (z ) are applied independently to
Magnitude Response (dB)

-10 : Multistage GDFT-FB


-20
the I and Q components (Fig. 10),
-30
-40 where R (z ) = H (z ) and Q (z ) = 0. In
-50 contrast, complex digital filter
-60 implementations require more
-70 resources because their complex
-80
coefficients need to be reduced to real
-90
-100
values [30]. For these implementations,
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 the two real-value filter components, R
Frequency (rad/π)
(z ) and Q (z ), are obtained from the
(a) Full magnitude response
complex filter H (z ):
0.05 : Classic GDFT-FB H (z )+H *(z )
R (z ) = (17)
0.04 : Multistage GDFT-FB 2
Magnitude Response (dB)

0.03 H (z )-H *(z )


jQ (z ) = (18)
0.02 2
0.01
0.00 Like real FIR filters, complex FIR
-0.01 filters have symmetric properties that
-0.02 can be exploited in the R (z ) and Q (z )
-0.03 ◀Figure 9. implementation [31]. Therefore, a
-0.04 Classic and multistage complex filter requires twice the
-0.05 GDFT-FB output
0 0.05 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 magnitude response for number of multipliers as a real digital
Frequency (rad/π)
an 8-channels TETRA filter of the same length. The
(b) Passband ripple detail channelizer. even-stacked GDFT-FB with
real-value coefficients enables efficient
ones. In normal circumstances, filters they require much higher filter orders. physical implementation. Fixed-point
designed with the Kaiser-Window Single-stage implementations might representation is also important in
method need more coefficients than still be possible using a customized physical implementation. For multirate
optimized filters. On the other hand, design, but this implementation filter banks, using fixed-point
they have decay in their stopband that requires longer development time. arithmetic to represent coefficients and
minimizes aliasing when applied to Mobile communication systems signals produces errors such as
FBs [28]. generally use modulation schemes analogue-to-digital input quantization
based on real-value in-phase and noise, coefficient quantization errors,
4.2 Fixed-Point FPGA Channelizer quadrature (I/Q) signals. For these rounding errors, overflow errors, and
Implementation modulation schemes, complex signal sub-band quantization errors
Physical implementation of parallel processing is useful for simplifying the [32]-[33]. In FIR prototype filters,
GDFT-FB and recombined GDFT-FB signal operations and notation. Instead coefficient quantization errors do not
channelizers is aided by efficient FIR of considering the real-value I/Q input affect the linear phase response
design and FFT processing tool boxes signals separately, in complex signal characteristics but can affect the
such as the Xilinx LogiCORE FIR processing the I/Q signals are mapped magnitude response. By using the
Compiler [29] and Xilinx LogiCORE FFT on a complex input value as the real multistage design with lower-order
[21] (which can be used with Virtex and and imaginary parts respectively [30] . filters, the zeros in the z -plane are
Spartans FPGAs). These toolboxes However, the system must be further apart; therefore, the filter
support most of the component parts of physically implemented using real magnitude response is less
the parallel GDFT-FB and recombined signal operations. Consequently, the sensitive to quantization error than in
GDFT-FB structures. Nevertheless, real-value I and Q components are filters with higher-order single-stage
such toolboxes often have limitations; processed in two different physical designs [25].
for example, the FIR compiler limits paths. Filtering or frequency mixing has
filters to 1024 coefficients. With minor to be applied to both components
filter optimization, the multistage separately. For the filter 5 Conclusion
channelizers could be implemented implementations, depending on the In this paper, we have described the
with these toolboxes. However, the desired odd or even sub-band practical implementation of two
coefficient limitation prevents these stacking configuration of the GDFT-FB, non-uniform channelization techniques
toolboxes being used to implement the coefficients of the FB prototype are based on GDFT-FBs. These FBs are
single-stage channelizers because either real- or complex-valued, as efficient and flexible, but the use of FIR

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 23

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P27
Special Topic Practical Non-Uniform Channelization for Multistandard Base Stations
Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell

[26] L. Milic, Multirate Filtering for Digital Signal


Q (z ) Processing: Information Science Reference, 2009.
[27] C. Y. Fung and S. C. Chan, "A multistage
filterbank-based channelizer and its
-
multiplier-less realization," in Circuits and
x I (n ) • R (z ) y I (n ) Systems, 2002. ISCAS 2002. IEEE International
Symposium on, 2002, pp. III-429-III-432 vol.3.
◀Figure 10. [28] K. F. C. Yiu, et al., "Multicriteria design of
x Q (n ) • R (z ) yQ (n ) Physical implementation oversampled uniform DFT filter banks," Signal
Processing Letters, IEEE, vol. 11, pp. 541-544,
of a real digital filter 2004.
(shaded) and complex [29] Xilinx, "IP LogiCORE FIR Compiler v5.0 Product
Q (z ) digital filter applied to I/Q Specification," ed, 2011.
signals. [30] K. W. Martin, "Complex signal processing is not
complex," Circuits and Systems I: Regular Papers,
Matters (ERM); TETRA Enhanced Data Service IEEE Transactions on, vol. 51, pp. 1823-1836,
filters generally leads to impractically (TEDS); System reference document, ETSI TR 102 2004.
high filter orders. To overcome this 491 V1.2.1, 2006-05. [31] F. Bruekers, "Symmetry and Efficiency in Complex
[10] Planning criteria and coordination of frequencies in FIR Filters," Philips Research Laboratories,
problem, a multistage filtering structure Eindhoven, 2009.
the land mobile service in the range 29.7-921
was applied to the GDFT-FB to reduce MHz, T/R 25-08, 2008. [32] M. Abo-Zahhad, "Current state and future
the number of coefficients. Taking this [11] A. Eghbali, et al., "A Farrow-structure-based directions of multirate filter banks and their
multi-mode transmultiplexer," in Circuits and applications," Digital Signal Processing, vol. 13,
approach, the number of filter Systems, 2008. ISCAS 2008. IEEE International pp. 495-518, 2003.
coefficients and operations per input Symposium on, 2008, pp. 3114-3117. [33] T. Karp and A. Mertins, "Implementation of
[12] A. Eghbali, et al., "Dynamic Frequency-Band biorthogonal cosine-modulated filter banks with
sample are reduced compared with the Reallocation and Allocation: from Satellite-Based fixed-point arithmetic," in Circuits and Systems,
single-stage design. These reductions Communication Systems to Cognitive Radios," 2001. ISCAS 2001. The 2001 IEEE International
make the physical implementation more Journal of Signal Processing Systems, pp. 1-17, Symposium on, 2001, pp. 469-472 vol. 2.
2009.
practical for fixed-point implementation [13] R. E. Crochiere and L. R. Rabiner, Multirate Digital
using existing FPGA tools. Signal Processing: Englewood Cliffs (NJ): Prentice
Hall, 1983.
[14] Q.-G. Liu, et al., "Simple design of oversampled
Acknowledgment uniform DFT filter banks with applications to Biographies
The authors wish to thank subband acoustic echo cancellation," Signal
Álvaro Palomo Navarro (apalomo@eeng.nuim.ie)
Jean-Christophe Schiel and François
Processing, vol. 80, pp. 831-847, 2000.
[15] A. Palomo Navarro, et al., "Overlapped polyphase received his B.Eng. degree in telecommunications
DFT modulated filter banks applied to TETRA/ engineering from the Polytechnic University of
Montaigne for their assistance and Madrid (UPM) in 2006. He carried out his final year
TEDS SDR base station channelization," presented
support. Also the authors extend thanks at the Royal Irish Academy Communication and project in the Signal Processing Department at BTH,
Sweden. Between 2006 and 2007 Álvaro worked as
to the sponsors EADS and IRCSET for Radio Science Colloquium, 2010.
[16] X. M. Xie, et al., "Design of linear-phase a test engineer of GSM intelligent networks. Since
the Ph.D. program. recombination nonuniform filter banks," Signal 2007 he has been a Ph.D. candidate at the Callan
Processing, IEEE Transactions on, vol. 54, pp. Institute at NUI Maynooth. His main research
2809-2814, 2006. interests include multirate digital signal processing,
[17] F. J. M. G. Harris, R., "A receiver structure that adaptive equalization, software-defined radio and
performs simultaneous spectral analysis and time Multistandard wireless communications systems.
series channelization," in SDR 09 Technical He also collaborates with the Electronic Engineering
References Conference and Product Exposition, 2009. Department as occasional lecturer and tutor.
[1] P. Leaves, et al., "Dynamic spectrum allocation in [18] H. Johansson and P. Lowenborg, "Flexible
composite reconfigurable wireless networks," frequency-band reallocation networks using Rudi Villing (rudi.villing@eeng.nuim.ie), MIEEE,
Communications Magazine, IEEE, vol. 42, pp. variable oversampled complex-modulated filter received his B.Eng. degree in electronic
72-81, 2004. banks," EURASIP J. Appl. Signal Process., vol. engineering from Dublin City University in 1992. He
[2] T. Hentschel, "Channelization for Software Defined 2007, pp. 143-143, 2007. spent the next 10 years working in the
Base-Stations," Annales de Telecommunications, [19] S. Radhakrishnan Pillai and G. H. Allen, telecommunications software industry and became
May/June 2002. "Generalized magnitude and power a specialist in telecommunications management
[3] R. Mahesh, et al., "Filter Bank Channelizers for complementary filters," in Acoustics, Speech, and networks (TMN). He worked as a product architect
Multistandard Software Defined Radio Receivers," Signal Processing, 1994. ICASSP-94., 1994 IEEE with Euristix Ltd. and Marconi Plc, creating the
Journal of Signal Processing Systems, Springer International Conference on, 1994, pp. III/585-III/ architecture for strategic network management
New York, 2008. 588 vol.3. technologies before joining the Electronic
[4] A. Palomo Navarro, et al., "Non-Uniform [20] P. Duhamel and M. Vetterli, "Fast fourier Engineering Department at NUI Maynooth in 2002.
Channelization Methods for Next Generation SDR transforms: a tutorial review and a state of the art," He received his Ph.D. degree from NUI Maynooth.
PMR Base Stations," in IEEE Symposium in vol. 19, ed: Elsevier North-Holland, Inc., 1990, pp. His research interests include wireless
Computers and Communications (ISCC 2011), 2011. 259-299. communications and applications and perceptual
[5] C. Zhao, et al., "Reconfigurable Architectures for [21] Xilinx, "LogiCORE IP Fast Fourier Transform v7.1 signal processing.
Low Complexity Software Radio Channelizers using Product Specification," ed, 2010.
Hybrid Filter Banks," in Communication systems, [22] T. Saramäki, "Finite impulse response filter design," Ronan J. Farrell (ronan.farrell@eeng.nuim.ie)
2006. ICCS 2006. 10th IEEE Singapore International in Handbook for Digital Signal Processing, S. K. received his B.E. and Ph.D. degrees in electronic
Conference on, 2006, pp. 1-5. Mitra and J. F. Kaiser, Eds., ed New York , NY, engineering from University College Dublin in 1993
[6] P. P. Vaidyanathan, Multirate Systems and Filter USA: John Wiley & Sons, 1993, pp. 155-227. and 1998. He is currently a senior lecturer at the
Banks: Prentice Hall PTR, 1993. [23] F. J. Harris, Multirate Signal Processing for National University of Ireland, Maynooth, and
[7] W. A. Abu-Al-Saud and G. L. Stuber, "Efficient Communication Systems: Prentice Hall PTR, 2004. director of the Callan Institute for applied ICT. His
wideband channelizer for software radio systems [24] J. Kaiser, "Nonrecursive Digital Filter Design Using research interests include physical layer
using modulated PR filterbanks," Signal Processing, the IO-Sinh Window Function," in IEEE communication technologies, in particular, adaptive
IEEE Transactions on, vol. 52, pp. 2807-2820, 2004. International Symposium on Circuits and Systems, receivers, Pas, and active antenna arrays. He is
[8] ECC, "Public protection and disaster relief spectrum 1974. currently the strand leader responsible for radio
requirements (ECC report 102)," HelsinkiJanuary [25] J. G. Proakis and D. G. Manolakis, Digital signal technologies in the SFI-funded Centre for
2007. processing: Principles, Algorithms and Telecommunications Research.
[9] Electromagnetic compatibility and Radio spectrum Applications: Pearson Prentice Hall, 2007.

24 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P28
Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation Special Topic
R. Neil Braithwaite

Crest Factor Reduction for OFDM


Using Selective Subcarrier
Degradation
R. Neil Braithwaite
(Powerwave Technologies, Santa Ana, CA 92705, USA)

Abstract: This paper describes a crest factor reduction (CFR) method that reduces peaks in the time domain by modifying selected data
subcarriers within an OFDM signal. The data subcarriers selected for modification vary with each symbol interval and are limited to those
subcarriers whose data elements are mapped onto the outer boundary of the constellation. In the proposed method, a set of peaks are
identified within an OFDM symbol interval. Data subcarriers whose data element has a positive or negative correlation with the set peak
are selected. For a subcarrier with an outer element and a significant positive correlation, a bit error (reversal) is intentionally introduced.
This moves the data element to the opposite side of the constellation. Outer elements on negatively-correlated subcarriers are increased
in magnitude along the real or imaginary axis. Experimental results show that selecting the correct subcarriers for bit reversals and
outward enhancements reduces the peak-to-average power ratio (PAPR) of the OFDM signal to a target value and limits in-band
degradation measured by bit error rate (BER) and error vector magnitude (EVM).

Keywords: crest factor reduction; OFDM; PAPR; wireless communication system; digital transmitter

standard — for example, Worldwide introducing an acceptable amount of


1 Introduction Interoperability for Microwave Access in-band degradation. This degradation

O
rthogonal frequency-division (WiMAX) 802.16 [1]. This peak power is measured by the BER and error
multiplexing (OFDM) waveforms bound allows the power amplifier (PA) vector magnitude (EVM).
can have large in the transmitter to be designed for
peak-to-average power ratios greater power-added efficiency (PAE) 1.1 OFDM Transmit Overview
(PAPR). Crest factor reduction (CFR) [2]. It may be necessary to enforce a Creation of an OFDM symbol for
reduces peaks at the expense of signal second PAPR bound if the dynamic radio frequency (RF) transmission is
quality. Usually, degradation is range of the digital-to-analog shown in Fig. 1. The symbol is part of a
distributed throughout the signal’ s converter (DAC) cannot prevent data stream that has been 1) encoded,
frequency bandwidth in the form of clipping for all possible signals. 2) modulated, 3) converted from serial
spectral leakage and in-band errors. The remainder of this introduction to parallel as a 256 sample block, 4)
However, because the OFDM signal is describes OFDM signal generation as converted to the time domain using an
created in the Fourier domain, well as the subcarrier phase alignments inverse fast Fourier transform (IFFT), 5)
degradation can be concentrated to that create peaks. Section 2 contains a extended using a cyclic prefix (CP) to
specific subcarriers (frequency bins of review of CFR methods. Section 3 256 + CP samples, and 6) converted
the FFT). introduces new CFR methods for back into a serial data stream. The data
In the proposed method, CFR is used intentionally degrading selected stream is then converted from DAC,
sparingly to bound the PAPR when subcarriers whose data elements are lowpass filtered, up-converted to RF,
transmitting at high power levels. This correlated (phase aligned) with the then amplified by a PA. The signals Y(k)
differs from the usual approach of peaks of the OFDM signal. Section 4 and y (n) are important for the crest
maximizing PAPR reduction. The bound contains experimental results that show factor method presented in this paper.
is met, and bit error rate (BER) is limited a WiMAX signal can be crest-factor These symbols correspond to the
without deviating from the OFDM reduced to a target PAPR by Fourier domain and time domain data

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 25

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P29
Special Topic Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation
R. Neil Braithwaite

To Digital
QPSK, it varies between constellation
Data
Y (k ) y (n ) Transmitter elements for 16-QAM and 64-QAM.
Modulator:
Input
Encoder
1 BPSK, QPSK, 1 Serial 256
to IFFT
256 Append 256+CP Parallel
to
1 Higher magnitudes are found at the
16-QAM, or Cyclic
64-QAM Parallel Prefix Serial outer elements of the 16-QAM and
64-QAM constellations. As a result, it
can be assumed that many of these
outer constellation elements are
Digital
Transmitter DAC Lowpass PA
RF present in the data subcarriers when a
Filter Transmission
Input large peak appears in the time domain.
LO

BPSK: binary phase-shift keying IFFT: inverse fast Fourier transform QAM: quadrature amplitude modulation 2 Crest Factor Reduction
CP: cyclic prefix LO: Local oscillator QPSK: quadrature phase-shift keying Large peaks cause problems
DAC: digital-to-analog converter PA: power amplifier
because PAs become less efficient as
▲Figure 1. RF transmission of one OFDM symbol [3]. the PAPR of the RF signal increases.
Limiting the PAPR is necessary for a
blocks, respectively, of the OFDM down-link (DL) subframe. This more efficient transmitter design. This
symbol. subframe comprises a preamble, frame process is called CFR. Past CFR
The encoding includes coders and control header (FCH), and DL bursts. methods for OFDM signals include clip
interleavers to allow for error correction QPSK is used for the preamble and and filter, partial transmit sequence
at the receiver. The modulator allows BPSK for the FCH. BPSK, QPSK, (PTS), selective mapping (SLM), tone
rate changes, and the rate is selected 16-QAM, or 64-QAM (except for the reservation, and constellation
according to the received BPSK pilots) is used for the DL bursts. extension. The following is a summary
signal-to-noise ratio (SNR) and BER at The preamble and FCH are sent first, of these CFR methods and their
the receiver. The rates from lowest to and the DL bursts are sent in order of suitability for OFDM signals.
highest are binary phase-shift keying the modulation rates (lower rates are The direct CFR method involves
(BPSK), quadrature phase-shift keying sent first). clipping peaks of the time signal y (n )
(QPSK), 16-quadrature amplitude The OFDM symbol at the output is a when they exceed a specified level, L.
modulation (16-QAM), and 64-QAM. time-domain data sequence. Although The clipped signal y clip(n ) is
In the serial-to-parallel conversion, a the individual data subcarriers are
frequency domain representation is transmitted using simple modulation y (n )
L· for y (n ) >L
created, and the data elements are mappings, the magnitude in the time y clip(n ) = y (n ) (2)
assigned to different subcarriers of the domain varies significantly. This is due y (n ) otherwise.
OFDM signal. The IFFT transforms the to the IFFT operation that forms each
data from the frequency domain to the time-sample from a sum of 200 The excess peak waveform
time domain. The cyclic prefix is a copy random-phase variables (56 of the 256 y peaks(n ) becomes
of the tail of the time domain block and subcarriers are null subcarriers). Phase
is appended to the beginning of the alignment of subcarriers in the y peaks(n ) = y (n ) - y clip(n ). (3)
time domain block. The cyclic prefix frequency domain results in large Clipping moves the constellation
protects against intersymbol peaks in the time domain. elements from their assigned positions.
interference (ISI) caused by multipath Peak-forming phase alignment in the The difference between the actual and
RF propagation. frequency domain varies according to assigned positions in the IQ space is
Within an OFDM symbol, the the position of the peak after the IFFT called the constellation error or EVM.
subcarriers may be data subcarriers, within the time block. A peak at time The allowable relative constellation
pilot subcarriers, or null subcarriers. No t peak within the interval t = [0, 255] is error for WiMAX, averaged over
data elements are mapped onto the null maximized by the following subcarrier subcarriers, frames, and packets,
subcarriers, which include the outer phases: depends on the rate modulation where
guard-band frequencies and the DC the most difficult specification is -31.0
θ align(t_peak)(k ) = -k·Δω·t peak +θ (t peak) (1)
subcarrier. The pilots are dB for the 3/4 rate 64-QAM. Clipping

BPSK-modulated and assigned to where Δω = (N = 256), k is the tends to distribute excess peak energy
specific subcarriers. The remaining
N
over the 256 subcarriers, including the
subcarriers are used for data subcarrier frequency index (DC = 0), null and pilot subcarriers. As well as
transmission, which may be modulated and θ (t peak) is the phase of the complex EVM limits on the data subcarriers,
using BPSK, QPSK, 16-QAM, or time sample at t peak. there are also limits on spurious
64-QAM. The magnitude of the subcarriers emissions and adjacent channel
The OFDM-transmitted signal is a also has an effect on peaking. Although leakage ratio (ACLR). These limits are
sequence of symbols sent as a the magnitude is constant for BPSK and specifically for the null subcarriers

26 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P30
Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation Special Topic
R. Neil Braithwaite

within the guard-band frequencies. that points on the outer boundary of the is used so that opposing points on the
The energy from the clipped peaks constellation have no neighbors in the constellation, dIQ and -dIQ, represent the
must be constrained to reside primarily outward-expanding direction. As a same data at the receiver. The
on the in-band subcarriers. result, EVM need not be limited in the advantage of constellation extension is
Filtering attenuates the excess peak outward direction because it does not that π radian phase shifts can be
energy present in the null subcarriers cause bit errors at the receiver. introduced onto some of the subcarriers
(except for the DC subcarrier). That is, Outward expansion is also used in the with the goal of disrupting
proposed CFR and is discussed in peak-forming phase alignments. The
Δy (n ) = Στ y peaks(n -τ)·h (τ) (4)
section 3. downside of this method is that one bit
where h (τ ) is a filter kernel. The In a different class of CFR methods, is lost in the constellation mapping,
crest-factor-reduced signal being CFR disrupts the subcarrier phase which reduces throughput for QPSK,
transmitted, denoted y CFR(n ), becomes alignments that create peaks. These 16-QAM, and 64-QAM to 1/2, 3/4, and
methods include partial transmit 5/6 of the original value, respectively.
y CFR(n ) = y (n ) - α·Δy (n ) (5) sequence (PTS) [9]-[11] and selective Also, the Gray code mapping specified
where α is a scaling term used to mapping (SLM) [12]. The subcarriers in the standard must be abandoned.
control the EVM introduced by the CFR are multiplied by a set of different The proposed CFR method similarly
[4], [5]. In some clip and filter phase-shift vectors that produce a set introduces large phase shifts onto
implementations [6], the excess peak of potential time sequences. The time selected subcarriers; however, the
waveform y peaks(n ) is replaced by a sequence with the lowest PAPR is phase shifts are applied to the standard
sequence of delta functions. Each delta transmitted. Information about the Gray code mapping instead of using
function is located at a peak and phase-shift vector used must be sent the redundant constellation mapping
assigned a magnitude and phase that to the receiver to allow demodulation. already described.
match the excess value of the peak. This is considered a disadvantage of
This sequence is filtered using (4). the method. A PTS method specifically
Using α in (5) for controlling EVM of for LTE and not requiring the 3 Proposed CFR Method
OFDM signals is not effective because phase-shift vector to be sent to the The proposed CFR method does not
the EVM limit varies according to the receiver is described in [13]. Neither alter the WiMAX (or other OFDM)
function of the subcarrier and the rate PTS nor SLM is used in the proposed standard nor does it require additional
modulation of the data (BPSK, QPSK, CFR method. information to be sent to the receiver.
16-QAM, or 64-QAM). It is beneficial A third CFR method involves The accuracy of the null and pilot
for the receiver to have accurate pilot reserving some of the subcarriers as subcarriers is preserved by restricting
information, which means the peak-reducers; that is, the reserved constellation errors to selected data
CFR-induced EVM should be zero for subcarriers do not carry any data. This subcarriers. CFR modifications are
pilot subcarriers. Multiaccess OFDM, method is called tone reservation [14], applied only to subcarriers whose data
such as OFDMA and LTE, may have [15]. Once a peak is detected in the elements are mapped onto the outer
different rate modulations on different time domain, the magnitudes and positions of the constellation. For BPSK
subcarrier blocks. Because the phases of the reserved subcarriers are and QPSK, the outer elements include
allowable EVM is larger for BPSK and selected to reduce the peak. the entire constellation. For 16-QAM
QPSK than for 16-QAM and 64-QAM, Unfortunately, data throughput is and 64-QAM, there are 12 (of 16) and
some researchers [7] convert the reduced because fewer data 30 (of 64) outer elements, respectively.
excess peak signal back into the subcarriers are available. It is possible The outer elements have special
frequency domain and apply the to use tone reservation as a form of clip properties in terms of constellation
filtering and rate-dependent EVM and filter with EVM control. The EVM is errors and BER. These properties are
control by weighting the subcarriers. set to zero for all data subcarriers that exploited in the proposed CFR method.
The result is then converted back into are not reserved for peak reduction. In a 16-QAM constellation, the IQ
the time domain to produce the desired The scale factor, α , may have to be mapping and decision boundaries
Δy (n ) and y CFR(n ). increased above unity for such an used by the receiver define the
The EVM control for a data subcarrier implementation in order to compensate relationship between constellation
depends on the modulation type. CFR for the sparseness of the reserved errors and BER. The 16-QAM mapping
attempts to introduce EVM on data subcarriers in the frequency domain. is a Gray code (Fig. 2). Also shown in
subcarriers to reduce peaks without Reserved tones are not used in the Fig. 2 are the decision boundaries of
increasing the BER at the receiver. proposed CFR method. the receiver. It is of interest to determine
Thus, QPSK allows more EVM than A fourth CFR method involves altering a) the largest constellation error that
64-QAM because the distance the constellation mapping so that can be tolerated without causing a bit
between neighboring points in the elements are not unique. This is error, and b) the largest constellation
constellation is greater. However, some referred to as constellation extension error caused by a single bit reversal.
researchers [8] have exploited the fact [16]. A redundant mapping of input bits The number of bit reversals between

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 27

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P31
Special Topic Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation
R. Neil Braithwaite

1101 1001 1000 1100


reversal on an outer element. considered for bit reversal. The number
Decision Using the CFR method, of secondary peaks specified must be
Boundary
Hamming constellation errors are limited to avoid eliminating too many
Distance =1
0101 0001 0000 0100 created. The proposed subcarriers from the CFR process.
Constellation method concentrates the The CFR method is shown in Fig. 3.
Error=3Δ
constellation errors on the The OFDM system in Fig. 1 is modified
0111 0011 0010 0110 data subcarriers that produce so that the PAPR is measured in the
Δ
the least amount of BER. That time domain, after the IFFT and before
is, the goal is to generate as the addition of the cyclic prefix. If the
1111 1011 1010 1110
much constellation error as PAPR is small enough (less than 8.5 dB
necessary for the CFR while for example), the original OFDM data
Hamming Distance =1 creating the minimum block is used for transmission. If the
Constellation Error=Δ
Hamming distance between PAPR is too large, the CFR OFDM data
the actual and received block is used. Before CFR is applied,
▲Figure 2. 16-QAM constellation with Gray code mapping
and decision boundaries. Outer elements can have elements. Data subcarriers the primary and secondary peaks are
different constellation errors for Hamming distance = 1. with outer constellation identified within the time block. The
elements are ideal for CFR. CFR module reduces the primary peak
the actual and received elements is Two CFR methods are possible: and does not increase the secondary
referred to as the Hamming distance. outward enhancement and bit reversal. peaks. CFR is applied in the Fourier
The largest constellation error that The former increases the I- or domain. The CFR signal is then
can be tolerated without causing a bit Q-component magnitude for all data converted to a time block using an IFFT.
error depends on the position of the subcarriers that have an outer element The CFR OFDM data block is not
data element. A single bit error occurs and negative correlation to the peak. computed when the PAPR of the
when the constellation error causes the The latter reverses the sign of the I- or original signal y (n ) is below 8.5 dB.
received element to cross one of the Q-component for subcarriers that have The CFR module is shown in greater
horizontal or vertical boundaries. The an outer element and large positive detail in Fig. 4. CFR uses the phase
distance between an interior element correlation to the peak. Because sign alignment profile described by (1) for
and the closest boundary is Δ/2; reversal causes a bit error, it is used each of the primary and secondary
however, this allowable error, which more sparingly than outward peaks. The phase alignment profile is
includes additive noise, is shared enhancement. cross-correlated with the real and
between the transmitter, receiver, and As well as reducing the peak value, it imaginary components of the
propagation channel. For the outer is also important not to significantly subcarriers containing outer elements.
elements, there is at least one direction increase the secondary peaks in the The cross correlations for tpeak are
where no decision boundary exists: the time block. To avoid enhancing C Re (k ;t peak) = Re{Y (k )}·cos{θ align(t_peak)(k )} (6)
outward direction away from either the secondary peaks, certain subcarriers
I axis or Q axis (depending on the cannot be used for peak reduction. C Im (k ;t peak) = Im{Y (k )}·sin{θ align(t_peak)(k )}. (7)
position within the constellation). At the Only subcarriers with a negative The peak is formed by the sum of
corner elements, there are two correlation to the primary peak and all many subcarriers. Because of the
directions unconstrained by decision secondary peaks are used for the phase term θ (t peak) in (1), subcarriers
boundaries. Intentionally increasing the outward enhancements. Only with positive cross-correlations
constellation errors for outer elements in subcarriers with a positive correlation to contribute to the peak whereas those
these unconstrained directions does the primary and secondary peaks are with negative cross-correlations
not increase the BER [6].
To determine the largest constellation Y (k ) y (n )
Modulator:
error caused by a single bit reversal, we BPSK, QPSK, Serial Measure
to IFFT PAPR of
need to look at the Gray code mapping. 16-QAM, or
Parallel IFFT 256 block (a) Use (a) if Append
64-QAM
Neighboring elements in the horizontal PAPR <8.5 dB Cyclic
else use (b) Prefix
and vertical directions have a Hamming Find (b)
distance of one. If we assume the peaks
actual and received constellation
elements differ by a Hamming distance CFR
of one, the constellation error for an IFFT
Bit Outward
interior point is Δ. For outer elements, a Reversal Enhance
Hamming distance of unity can
BPSK: binary phase-shift keying IFFT: inverse fast Fourier transform QAM: quadrature amplitude modulation
produce a constellation error of 3Δ CFR: crest factor reduction PAPR: peak-to-average power ratio QPSK: quadrature phase-shift keying
(Fig. 2). Thus, large constellation errors
can be created from a single bit ▲Figure 3. CFR Schematic [3].

28 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P32
Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation Special Topic
R. Neil Braithwaite

Y (k ) y (n ) secondary peaks is raised, if


S necessary, until the number of selected
Mod. IFFT Find
to peaks peaks is three or less. For these rare
P
occurrences, the number of bit
CFR
Find data Compute Compute Compute N·bit reversals is reduced to avoid
subcarriers with phase profile phase profile phase profile …
Reversals, excessively enhancing the secondary
Outward
outer elements for peak tp (1) for secondary for secondary
Enhancement peaks, but this comes at the expense of
peak tp (2) peak tp (3)
Separate real increased PAPR. Thus, to limit the
and imaginary number of secondary peaks
components
considered, it is sometimes necessary
to increase the target PAPR for a given
tp (1) Cross tp (2) Cross tp (3) Cross
Correlation Correlation Correlation block, even if this results in clipping at
the PA.
Find Find Find Find Find
Because a bit reversal typically
Find Positive Negative Positive Negative Positive Negative reduces the peak by about 0.4 dB, it is
CSC CSC CSC CSC CSC CSC
necessary to specify additional bit
reversals for large peaks. The number
Intersection: Intersection: of bit reversals for an OFDM symbol is
CSC Set 1 CSC Set 2
1, 2, 3, or 4 when the original PAPR
exceeds 8.7, 9.2, 9.7, and 10.1 dB,
Find N largest Apply N Apply outward respectively. There are two approaches
tp (1) corr. from bit enhancement to IFFT
CSC Set 1 reversals all of Set 2 to implementing N bit reversals when
N > 1. Either all N bit reversals are
CFR: crest factor reduction CSC: correlated subcarrier components IFFT: inverse fast Fourier transform applied at once (Fig. 4), or single-bit
reversals are applied recursively N
▲Figure 4. CFR for the case of N bit reversals per OFDM symbol plus outward enhancement [3]. times (Fig. 5). For N = 0
(PAPR < 8.7 dB), only outward
attenuate the peak. Applying a bit negatively-correlated components for enhancement is used.
reversal, that is, changing the sign of each peak is also computed. The Single bit reversal applied recursively
either Re{Y (k )} or Im{Y (k )}) to a outward enhancement is applied to all N times is shown in Fig. 5. This
subcarrier, reverses the the available subcarrier components approach requires additional IFFTs to
cross-correlation. A positive to from the negatively-correlated set. The be computed. Because the primary and
negative change reduces the peak. enhancement is a scalar multiple of the secondary peaks are re-computed
Increasing the magnitude of either original value, for example, 1.05 after each bit reversal, the fractional
Re{Y (k )} or Im{Y (k )} for a subcarrier Re{Y (k )} or 1.05 Im{Y (k )}. threshold for the secondary peaks is set
possessing a negative In this approach, a secondary peak to 0.85. Outward expansion is applied
cross-correlation also reduces the has a magnitude that is above a after the last bit reversal has been
peak. Thus, a coordinated effort to threshold defined as a fraction of the completed.
create or enhance the negative primary peak. The fraction is In addition to bit reversals and
cross-correlation on many subcarriers determined by the amount of peak outward expansions, a third CFR
results in effective CFR. reduction sought from the CFR. The approach can be used. In this
There is a risk that a secondary peak potential increase in the secondary approach, constellation error is
will increase in response to the CFR of peak is directly related to the decrease distributed over all elements, not just
the primary peak. It would be poor use in the primary peak. Currently, the the outer elements. The phase profiles
of bit reversals and outward target PAPR is set to 8.5 dB. When the for the primary and secondary peaks
enhancements if the CFR transformed a original PAPR is greater than 8.5, 9.2, or are multiplied by a scalar term and then
secondary peak into a primary peak. To 9.7 dB, the fractional thresholds for added to the Fourier coefficients. This
prevent this, the cross-correlations are secondary peaks are 0.85, 0.8, and introduces constellation errors similar to
computed relative to the secondary 0.75 of the primary peak, respectively. clipping, except that the affected
peaks as well. The intersection of the These thresholds were obtained by subcarriers are selected, which allows
sets of positively-correlated experimentation. the pilot and null subcarriers to be
components for each peak is used as a Selecting too many secondary peaks transmitted without error. Because the
pool of available subcarriers for a bit can be problematic because the phase profiles of the primary and
reversal. The available subcarrier intersection of the correlated subcarrier secondary peaks have already been
possessing the largest positive component sets (Fig. 4) may become a computed (Fig. 4), the additional
correlation to the peak is selected. The null set, preventing any CFR. To avoid computational cost is minimal. The size
intersection of the this problem, the fractional threshold for of the scalar term controls the

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 29

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P33
Special Topic Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation
R. Neil Braithwaite

Y (k ) y (n ) repeated 20 times with random data


Modulator:
BPSK, QPSK, S Measure sent on the data subcarriers.
to IFFT Use up to N
16-QAM, or
P
PAPR (0)
bit reversals Append BER associated with the CFR is
64-QAM Cycli
Find to achieve
Prefix caused by the bit reversals only and
PAPR<8.5 dB
peaks does not account for the forward error
(1) (2) (N )

correction (FEC) provided by the bit
CFR
IFFT
Measure encoding. The EVM caused by the CFR
1-bit PAPR
Reversal is measured with
Find
peaks E [ d IQ(n )-d CFR(n ) 2]
EVM = (8)
E [d IQ(n ) 2]
CFR
Measure
1-bit IFFT PAPR
N bit reversals Reversal where E[ ] is expected value, and d IQ
Find and d CFR are the data elements within
Peaks
the IQ constellation space before and
after CFR, respectively. EVM is

measured in two ways: the first uses the


CFR
Measure original constellation data point for
IFFT
Bit Outward PAPR d IQ(n ) whereas the second defines
Reversal Enhance d IQ(n ) as the nearest constellation point
BPSK: binary phase-shift keying IFFT: inverse fast Fourier transform QPSK: quadrature phase-shift keying to d CFR(n ). In the first way, in-band
CFR: crest factor reduction QAM: quadrature amplitude modulation
signal degradations associated with
▲Figure 5. CFR for N bit reversals per OFDM symbol plus outward enhancement using both the outward expansion and bit
the recursive implementation [3]. reversals are measured; in the second
way, degradation associated with the
constellation error in this third part of preamble, one BPSK symbol for the outward expansion only is measured.
the CFR, and the scalar term is typically FCH, and 75 64-QAM payload CFR has a target PAPR of 8.5 dB. The
selected to be small in value. That is, symbols. The 64-QAM symbols contain PAPR is defined as
this is not the primary source of peak BPSK pilot subcarriers. The ratio for the max( y (t ) 2)
reduction. In the proposed CFR cyclic prefix is 0.125, which PAPR = (9)
E [ y (t ) 2]
method, the third approach is used to corresponds to 32 time samples for the
assist with reducing the largest peaks. 256 data blocks. The DL subframe is where y (t ) is the analog output signal
The scale term is made to be an
increasing function of the PAPR of the S
Y (k ) y (n )
Find CFR
OFDM symbol. Mod. to IFFT
peaks N-bit
P
In the CFR approach shown in Fig. 4, Reversals
the cross-correlation is computed for
all subcarrier components associated Find data
subcarriers with
Compute
phase profile
Secondary Secondary
peak tp (2) peak tp (3) …
with the primary and secondary peaks. outer elements for peak tp (1)
If only bit reversals are used for CFR, Separate real
and imaginary
the cross-correlation for the secondary components
peak need only be computed for the
subcarrier components associated with tp (1) Cross
Cross correlate Cross correlate
selected selected
the primary peak (Fig. 6). This can be Correlation subcarrier comp. subcarrier comp.
thought of as a serial implementation of with secondary with secondary
peak tp (2) peak tp (3)
a correlated subcarrier component
Find positive
search (Fig. 4), which requires fewer CSC Yes Yes Apply N bit Yes
Negative Negative bit Reversals IFFT
computations on average because the ? ? reversal ?
set of available subcarrier components No No No
Find subcarrier
becomes smaller as subsequent component Find subcarrier
secondary peaks are tested. with largest tp (1) comp. with next
correlation largest tp (1)
correlation

4 Results CFR: crest factor reduction CSC: correlated subcarrier components IFFT: inverse fast Fourier transform
The CFR approach in Fig. 4 is
applied to a WiMAX DL subframe ▲Figure 6. Serial implementation of the correlated subcarrier component search, suitable for
comprising two QSPK symbols for the CFR using bit reversals only [3].

30 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P34
Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation Special Topic
R. Neil Braithwaite

-0.5 1730460 bits sent). The EVM, including communications systems,”US patent 7,095,798,
Aug. 22, 2006.
-1.0 the bit reversals, is 0.0725, which is [6] G. A. Awater, R. D. de Wild, A. Hendrik,

-1.5
high for 64-QAM. The limit for 3/4 rate “Transmission system and method employing peak
cancellation to reduce the peak-to-average power
-2.0
64-QAM is 0.0282. However, when ratio,”US patent 6,175,551, Jan. 16, 2001.
Original using the easier measure that excludes [7] M. J. Hunton,“OFDM communications system
-2.5
β CCDF the contribution from bit reversals, EVM employing crest factor reduction with ISI control,”
-3.0 US patent application 20070058743, March 15,
is 0.0119. This EVM level is considered 2007.
-3.5
acceptable. Most of the EVM for this [8] B. S. Krongold and D. L. Jones,“PAR reduction in
-4.0 CFR OFDM via active constellation extension,”IEEE
CCDF second measure is due to the outward Trans. Broadcasting, vol. 49, no. 3, pp. 258-268,
-4.5 expansion of the constellation, which Sept. 2003.
-5.0 does not increase BER at the receiver. [9] S. H. Han and J. H. Lee,“PAPR reduction of OFDM
2 3 4 5 6 7 8 9 10 11 signals using a reduced complexity PTS
PAPR (dB) This approach does not involve trying technique,”IEEE Signal Proc. Lett., vol. 10, no. 11,
CCDF: complementary cumulative distribution function to achieve the lowest CFR. Instead, a pp. 887-890, Nov. 2004.
[10] L. J. Cimini, Jr. and N. R. Sollenberger,“OFDM
CFR: crest factor reduction bounded CFR is created to ease the communication system and method having a
PAPR: peak-to-average power ratio design of the PA and digital circuitry reduced peak-to-average power ratio,”US
and to generate a low BER. With this patent 6928084, Aug. 9, 2005.
[11] A. Alavi and C. Tellambura,“PAPR reduction of
▲Figure 7. CCDF for the original and crest type of CFR, 90% of the CFR symbols OFDM signals using partial transmit sequence: an
factor reduced WiMAX time waveforms. are transmitted without modification. optimal approach using sphere decoding,”IEEE
The vertical axis represents the 10β Commun. Lett., vol. 9, no. 11, pp. 982-984, Nov.
probability that the signal power |y (n )|2 For such pass-through cases, only the 2005.
exceeds the level Po = PAPR*Pave, where PAPR measurement is required, and no [12] C.-L. Wang and Y. Ouyang,“Low-complexity
selected mapping schemes for peak-to-average
Pave is the average signal power. additional IFFTs are computed. power ratio reduction in OFDM systems,”IEEE
Trans. Signal Processing, vol. 53, no. 12, pp.
after filtering. In practice, however, the 4652-4660, Dec. 2004.
[13] R. N. Braithwaite,“Crest factor reduction for
PAPR is defined using the digital time 5 Conclusion down-link LTE by transmitting phase shifted
domain signal y (n ) and is based on the A CFR method that is suitable for resource blocks without side information,”
European Wireless Conf., EuWIT 2009, Rome, Italy,
signal power statistics rather than the WiMAX and other OFDM signals has pp. 13-16.
absolute peak. The practical peak is the been presented. Degradation [14] S. Hosokawa, S. Ohno, K. D. Teo, and T.
level Po , for which the signal power associated with CFR is restricted to Hinamoto,“Pilot tone design for peak-to-average
power ratio reduction in OFDM,”IEEE Int. Sym.
y (n ) 2 has a 10β probability of selected data subcarriers whose data Circ. Syst. (ISCAS 2005), Kobe, Japan, pp.
exceeding this peak. The elements are mapped onto the outer 6014-6017.
[15] L. Guan and A. Zhu,“Gaussian pulse-based
complementary cumulative distribution boundary of the IQ constellation. two-threshold parallel scaling tone reservation for
function (CCDF) of y (n ) 2 , in which β is Subcarrier components correlated to PAPR reduction of OFDM signals,’Int. J. Digital
plotted as a function of Po, is a useful the phase profiles of the primary and Multimedia Broadcasting, vol. 2011, Article ID
470310, 9 pages, 2011. doi:10.1155/2011/470310.
description of the signal. In this paper, secondary peaks are identified for [16] Y. J. Kou, W.-S. Lu, and A. Antoniou,
two probability thresholds are selected modification by outward expansions “Peak-to-average power ratio reduction
algorithms for OFDM systems via constellation
for defining the signal peak: β= -4 and bit reversals. The extension,”IEEE Int. Sym. Circ. Syst. (ISCAS
and -5. peak-to-average power for the WiMAX 2005), Kobe, Japan, pp. 2615-2618.
The CCDFs of the original and signal is reduced to a target level, and [17] R. N. Braithwaite,“General principles and design
overview of digital predistortion,”chapter in Digital
crest-factor-reduced OFDM time this allows the PA in the transmitter to Processing for Front End in Wireless
sequences are shown in Fig. 7. Using be designed for high PAE. CFR is Communication and Broadcasting, F. Luo (Ed.),
Cambridge Univ. Press, 2011.
the 10-4 probability threshold for the achieved with an acceptable amount of
CFR OFDM time sequence, PAPR is in-band BER and EVM degradation.
8.42 dB, which is a reduction of 1.2 dB
from the 9.62 dB PAPR of the original
signal. Using the 10-5 probability
References Biography
threshold, PAPR of the CFR and original [1] IEEE 802.16-2009, IEEE Standard for Local and
OFDM time sequences are 8.56 dB and Metropolitan Area Networks Part 16: Air Interface for R. Neil Braithwaite (nbraithwaite@pwav.com)
Broadband Wireless Access Systems, received his B.Sc. degree in electrical engineering
10.54 dB, respectively. That is, CFR from the University of Calgary in 1985. He received
P802.16Rev2/D9, Jan. 2009.
reduces PAPR by 2 dB when the 10-5 [2] S. C. Cripps, RF Power Amplifiers for Wireless his M.Sc. and Ph.D. degrees from the University of
British Columbia in 1989 and 1992. From 1992 to
threshold is used. The Communications, Norwood, MA: Artech House,
1999. 1995, he conducted postdoctoral research at the
crest-factor-reduced waveform meets [3] R. N. Braithwaite,“Crest factor reduction system University of California, Riverside. From 1985 to
the target PAPR of 8.5 dB for the 10-4 and method for OFDM transmission systems using 1987 and 1995 to 2002, he worked for Computing
selective sub-carrier degradation,”US patent Devices Company (Canada), Nortel (Canada), and
probability threshold, which is used application 20070140367, June 21, 2007. Agilent Laboratories (USA). Since 2002, he has
more commonly in PA design than the [4] M. J. Hunton,“System and method for peak power been working for Powerwave Technologies (USA).
He is the author of several papers and patents, as
10-5 threshold. reduction in spread spectrum communications
well as a recent book chapter on digital
systems,”US patent 6449362, Sept. 10, 2002.
The BER introduced by the CFR is [5] M. J. Hunton,“System and method for post filtering predistortion in RF power amplifiers [17].
0.00019 (327 bit reversals from peak power reduction in multi-carrier

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 31

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P35
Special Topic An Antenna Diversity Scheme for Digital Front-End with OFDM Technology
Fa-Long Luo, Ward Williams, and Bruce Gladstone

An Antenna Diversity Scheme for


Digital Front-End with OFDM
Technology
Fa-Long Luo, Ward Williams, and Bruce Gladstone
(Element CXI, San Jose, CA 95131, USA)

Abstract: In this paper, we propose a new antenna diversity scheme for OFDM-based wireless communication and digital broadcasting
applications. Compared with existing schemes, such as post-fast Fourier transform (FFT), pre-FFT, and polyphase-based filter-bank,
the proposed scheme performs optimally and has very low computational complexity. It offers a better compromise between
performance, power consumption, and complexity in real-time implementation of the receivers of broadband communication and digital
broadcasting systems.

Keywords: OFDM; digital front-end; MIMO; cross-layer processing; diversity; antenna

antenna signals. All the weighted where X (n ) = [X 1(n ), X 2(n ), ..., X M (n )]


1 Introduction signals are summed to one channel, and y (n ) =W H (n )X (n ). Pre-FFT can

I
n modern wireless broadband such as one antenna’ s output and then give 5-10% gain in bit-error reduction
communication and digital forwarded for further FFT processing,
broadcasting, orthogonal which is required in any OFDM-based x 1(n )
w 1(n )
Z (1)
frequency-division multiplexing receiver. These weights are designed
Z (2)
(OFDM)-based modulation schemes to meet an optimization criterion, and x 2( n )
w 2( n ) +
y (n )
FFT
are usually used. However, an OFDM the most common criterion is the Z (N )
system has very poor reception when maximum ratio combination (MRC).

there is noise, interference, or moving With MRC, the optimized weight vector, xM (n )
wM (n )
objects. To solve this problem, many W (n ) = [W1(n ), W2(n ), ..., WM (n )], can
FFT: fast Fourier transform
technologies have been proposed and be obtained by
used in real applications [1], [2]. W opt (n ) = E [X (n )×Y (n )] (1) ▲Figure 1. Pre-FFT scheme [1].
Among these technologies,
beamforming-based diversity
technology is the most promising. It Filter
Analysis
uses multiple antennas at the receiver x 1(n ) Bank 1
side and spatial filtering to optimize Z (1)
reception in noisy and mobile Filter y (n ) Z (2)
Analysis Synthesis FFT
environments. Figs. 1, 2, and 3 show x 2( n ) Bank 2
Z (N )
three representative solutions: pre-fast
Fourier transform (pre-FFT), polyphase
filter-bank, and post-FFT [1], [4], [5],

[7], [8].
In Fig. 1, X1(n ), X2(n ), ... , XM (n ) are
the received signals in one of M Figure 2.▶ Analysis
Filter
xM (n ) Bank L
antennas, and W1(n ), W2(n ), ... , WM (n ) Polyphase bank FFT: fast Fourier transform
are the weights applied to these scheme.

32 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P36
An Antenna Diversity Scheme for Digital Front-End with OFDM Technology Special Topic
Fa-Long Luo, Ward Williams, and Bruce Gladstone

Multiplications with Weights for scheme that provides a Now we determine the N +M weights
Different Frequency Bins better compromise in proposed scheme.
between performance, WE, X h, and X t denote the weight
FFT complexity, and power vector of the eigenfiltering, the
x 1(n )
+
Z (1) consumption is highly sequence of the head-guided interval,
desired. and the tail of the symbols,
respectively. If the norm is a constant
(unity, for instance), the weight vector is
FFT +
Z (2) 2 The Proposed obtained in such a way that the error is
x 2( n )
Scheme minimized. That is,
Here, we propose a
new scheme in which minWE E‖W HX h - W HX t‖2

Z (N )
+ the number of variables St.‖W H W‖2 = 1. (3)
computed is reduced According to beamforming matrix
xM (n )
FFT from N ×M (in post-FFT) theory [3], the solution to the
to N+M. The scheme optimization problem is the eigenvector
FFT: fast Fourier transform shown in Fig. 4 performs (minor component) corresponding to
just as well as the the smallest eigenvalue of the
▲Figure 3. Post-FFT scheme [1]. post-FFT scheme. correlation matrix R, which is
M antenna signals are weighted by
and is not complex in implementation. the corresponding weight as the E [(Xh -Xt ) (Xh -Xt )H ]. (4)
However, this processing is done only processing made in the pre-FFT This is also the reason that the
in the time domain, and the same algorithm in Fig. 1. These M weighted filtering in Fig. 4 is called eigenfiltering.
weights are used over the entire signals are added together to pass In practical implementation, the minor
frequency band (all-carriers). Because through an N-tap finite impulse component in the following adaptive
of the shortcomings of simple pre-FFT, response (FIR) eigenfilter, after which algorithm can be updated:
a polyphase filter bank scheme is they are input for FFT processing. The
proposed (Fig. 2). number of unknown variables in the W (t +1) =W (t ) + γs (t ) (X h -Xt -s (t )W (t ))
In a polyphase scheme, each proposed scheme is N +M, which is s (t ) = W H(t ) (X h -X t ) (5)
antenna signal is divided into a number significantly less than the N ×M needed where γ is a constant. This algorithm
of frequency band signals, and different in post-FFT. Furthermore, only one FFT is as complex as LMS algorithm, and
weights apply to different bands. This operation is needed in the proposed the required multiplications are only on
scheme can further improve scheme instead of M FFT operations in the order of N. An algorithm, such as
performance at the cost of increased the post-FFT scheme. Here we will MRC used in pre-FFT, can be used to
computational complexity that arises as prove that the post-FFT scheme in Fig. determine the weights applied to each
a result of multichannel antenna signal 3 and the proposed scheme in Fig. 4 antenna before eigenfiltering.
decomposition (analysis) and give the same frequency-domain
multiplication of weights in each outputs, Z (1), Z (2),...,Z (N ). Using the
channel. Different weights in different optimization criterion in post-FFT, the
3 Comparison and
frequency bins and bands can be used variable matrix, WN ×M, in Fig. 3 can be Discussion
in the frequency domain, and this is rewritten as Compared with existing solutions, the
called post-FFT (Fig. 3).
WN ×M = [WN,1, WN,2, ..., WN,M]
A polyphase scheme is a special w (n ) 1

== WC [C 1, C 2, ..., C M] (2)
case of post-FFT. Post-FFT performs x (n ) 1

the best but has the greatest where WN,i is the i th column of the Z (1)
computational complexity. Pre-FFT has N ×M matrix and denotes the w (n ) 2
FIR Z (2)
the least computational complexity but weights after FFT processing of the x (n ) 2
Eigenfiltering
FFT
Z (N )
improves performance the least. i th antenna in Fig. 3. WC is an
Another approach is to divide M N-dimensional vector, and Ci is a
antennas into L groups. Each group scalar (corresponding to each

uses pre-FFT and obtains L outputs. antenna). This suggests that


With these L outputs, post-FFT is then Figs. 3 and 5 give the same outputs.
wM (n )
used to obtain the desired outputs. Because each block in Fig. 5 is
Compared with the full post-FFT linear processing, changing the xM (n )
FFT: fast Fourier transform
scheme, this alternative avoids M-L order of the blocks results in the FIR: finite impulse response
FFT computations at the cost of same performance. Hence, the
reduced performance. A diversity scheme in Fig. 4 is obtained. ▲Figure 4. Proposed scheme.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 33

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P37
Special Topic An Antenna Diversity Scheme for Digital Front-End with OFDM Technology
Fa-Long Luo, Ward Williams, and Bruce Gladstone

C1 platform support all than can be offered by a general DSP.


existing standards (and One alternative is to use a general
FFT their various modes) with DSP or reduced instruction set
x (n )
1 high power efficiency, low computing (RISC) processor plus
cost, and short time to hardware accelerators that are
C2 Z (1) market [9]. Traditional designed and optimized to implement
Wc Z (2) integrated circuit FFT algorithm as well as eigenfiltering
FFT
Vector technologies, such as and its adaptive algorithm. In other
x (n ) Multiplication
2
Summation Z (N ) application-specific words, an eigenfiltering unit performs a
integrated circuits basic filter computation, an FFT unit
(ASICs) and digital signal performs FFT computations, and an
processors (DSPs), are adaptive unit implements the

CM not highly flexible and operations defined by (5) in section 2.


power efficient. An ASIC These optimized accelerators may
solution gives high meet performance goals, but the
FFT
xM (n ) performance with low accelerators are very narrow in their
power consumption and applicability, and this significantly
FFT: fast Fourier transform price, but it cannot reduces the flexibility of the
support multiple processor-based solution.
▲Figure 5. Alternative scheme for post-processing. standards nor is it An alternative that offers flexibility
sufficiently flexible. A DSP and parallelism is devices with FPGAs.
proposed scheme has the following is highly flexible but performs poorly These devices may combine
features: and consumes much power. It may processors with a programmable array
•The parameters to be computed in include high-speed arithmetic of low-level logic devices, but they are
a real-time implementation are operations, such as expensive, and performance is limited
reduced from M ×N to N +M, and multiply-accumulate, but the at high temperatures.
performance remains the same as that algorithms require extensive In a future paper, we will introduce
of post-FFT. programming and more parallelism ➡To P.41
•Only one FFT operation is needed
instead of multiple FFT computations or ▼Table 1. Parameters related to implementation of FFT in different standards
polyphase filtering analyses. Table 1 WiMAX WiMAX
Standard ISDB-T DVB-H DVB-T FLO CMMB DTMB T-DMB
shows computational complexity for (Mobile) (Fixed)
various standards. 256 2048 2048 4096 1024 1024 256 128 256
•The related parameters can be 512
1024
4096
8192 8192
4096 4096 512
1024
512
1024
FFT Size
adaptively updated from the received 2048 2048 2048
samples of the each antenna. The 4096
8192
adaptive algorithm used is as simple as 252 224 224 738.0 409.6 500 250 91.4 64
the LMS algorithm, which has the Duration
504 448 2
(μs)
computational complexity on the order 1008 896 896
of the number of unknown parameters. 2.56 2.56 1.12 2.56
5.76 5.76 5.76
With these features, the proposed Single FFT 12.8 12.8 12.8 12.8 12.8
scheme is a new tool for improving Cycles (k) 28.2 28.2 28.2 28.2 28.2
61.4 61.4 61.4 61.4 61.4
reception quality in broadband wireless 133.12 133.1 133.1
communications and digital 4 4.5 4.5 1.4 2.4 2 4 11 15.63
broadcasting. It will have very many Symbol
2 2.2
Number (K)
practical uses in devices. 1 1.1 1.1
10.24 127 127 83.2 30.72 25.6 10.24 12.3212 40.01
11.52 135 23.04 63.36
4 Conclusions and Future 12.81
23.04
146 146 147.00 132.8 51.20
112.2
140.80
310.20
Work MIPS 25.60
28.20
In this paper, we have proposed a 112.80
new and efficient diversity scheme for 132.80
133.12
OFDM-based receivers. We have also
shown the accuracy and effectiveness CMMB: China Mobile multimedia broadcasting FLO: forward link only
DTMB: digital terrestrial multimedia broadcast ISDB-T: integrated services digital broadcasting-terrestrial
of the proposed scheme. When DVB-H: digital video broadcasting-handheld MIPS: Million Instructions Per Second
embedding this algorithm into real DVB-T: digital video broadcasting-terrestrial T-DMB: digital multimedia broadcasting via terrestrial
FFT: fast Fourier transform
silicon, it is often desirable that one

34 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P38
A Histogram-Based Static-Error Correction Technique for Flash ADCs Special Topic
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

A Histogram-Based Static-Error
Correction Technique for Flash ADCs
Armin Jalili 1, J Jacob Wikner 2, Sayed Masoud Sayedi 1, and Rasoul Dehghani 1

(1. Department of Electrical and Computer Engineering, Isfahan University of Technology, Isfahan 84156-83111, Iran;
2. Department of Electrical Engineering, Linköping University, SE-581 83 Linköping, Sweden)

Abstract: High-speed, high-accuracy data converters are attractive for use in most RF applications. Such converters allow direct
conversion to occur between the digital baseband and the antenna. However, high speed and high accuracy make the analog
components in a converter more complex, and this complexity causes more power to be dissipated than if a traditional approach were
taken. A static calibration technique for flash analog-to-digital converters (ADCs) is discussed in this paper. The calibration is based on
histogram test methods, and equivalent errors in the flash ADC comparators are estimated in the digital domain without any significant
changes being made to the ADC comparators. In the trimming process, reference voltages are adjusted to compensate for static errors.
Behavioral-level simulations of a moderate-resolution 8-bit flash ADC show that, for typical errors, ADC performance is considerably
improved by the proposed technique. As a result of calibration, the differential nonlinearities (DNLs) are reduced on average from 4 LSB
to 0.5 LSB, and the integral nonlinearities (INLs) are reduced on average from 4.2 LSB to 0.35 LSB. Implementation issues for this
proposed technique are discussed in our subsequent paper,“A Histogram-Based Static-Error Correction Technique for Flash ADCs:
Implementation Aspects.”
Keywords: Calibration; flash ADC; offset; trimming; uniform distribution

(DSP). Analog complexity is reduced by conducive to process-scaling and


1 Introduction minimizing the number of (mostly) more compatible with newer CMOS

A
n analog-to-digital converter discrete elements, such as high-Q technologies. However, this comes at
(ADC) is an essential part of an filters, and lowering the number of the cost of increased digital complexity
RF receiver, but in many analog cascaded stages in because a larger part of the ADC is
systems, an ADC limits down-conversion. Reduction in analog digital rather than analog.
performance. complexity allows the system to be In this paper, we focus on flash ADCs
To relax the dynamic range more integrated and makes it more with low to moderate resolutions, that is,
requirements of an ADC, a voltage gain compatible with a system-on-chip 3 to 8 bits. These resolutions are used
amplifier (VGA) and filters (for (SOC) design. extensively as the sub-ADC part of
adjacent-channel blocking) are used An important factor in ADC design is sigma-delta ADCs, in pipelined ADCs,
before the ADC. Typically, linear analog the power-accuracy trade-off. This is or in applications ultrawideband where
filters with sharp transition bands and more critical in cellular applications, low resolution and very high-speed
good VGA designs are used. Such where portability significantly affects converters are needed. In a standard
filters are difficult to implement, power consumption, especially that of DCS-1800 for a low-IF receiver, a
especially in an integrated system and the converter. A highly dynamic range high-resolution, low-bandwidth
in newer CMOS technologies. The in the converter is sometimes converter is required. Such a converter
design of these blocks should be achievable at the cost of high power can be realized using a sigma-delta
simplified, and more should be consumption. Calibration optimizes the ADC.
required of the ADCs. In today’ s power-accuracy trade-off, and the The accuracy of a flash ADC is
cellular applications, the main desired accuracy can be obtained with mainly affected by the offsets of its
challenge is to reduce analog reduced power consumption. By comparators and references. To
complexity as much as possible and reducing analog complexity and improve accuracy, components that are
shift it to the digital part of the system increasing digital complexity, well-designed and comparatively large
that relies on digital signal processing calibration makes the converter more in terms of area and power are

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 35

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P39
Special Topic A Histogram-Based Static-Error Correction Technique for Flash ADCs
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

commonly used. This results in higher voltages of the MOS transistor. given. Section 7 concludes the paper.
cost and, perhaps more importantly,
longer design time and limited 1.2 Algorithm Example
process-scaling if the ADC is A histogram-based calibration 2 Examples of Static Errors
embedded in a system on chip (SOC). technique for flash ADCs is an example In an n-bit flash ADC, 2n -1 reference
In addition to the material presented of an error-correction technique for levels are commonly generated by a
in this paper, we give a more detailed front-end ADCs. The theory of resistor ladder. For each reference
analysis of the histogram-based histogram test methods is well-known level, a comparator is used. The
static-error correction technique in [1], [7]-[13], and in this paper, a histogram comparators compare the input signal
taking implementation issues into method is used to extract the equivalent with the reference levels, and
account. We study the input-referred offset of each combined, the comparators generate a
transistor-implementation of a 5-bit, comparator in the flash ADC. This is an thermometer code at their outputs. A
1 GHz ADC in a 1.2 V, 65 nm CMOS estimation process. Trimming is then digital decoder that filters out sparkle
process, and we evaluate the performed by adjusting the reference errors is usually used. Static errors
improvement in performance brought voltage levels in order to compensate affect the accuracy of the decision
about by the calibration technique. We for the static error sources. The levels in the converter. Errors in the
also suggest further improvements to estimation process is fully digital, and reference levels are mainly caused by
the analog design so that analog no changes are made to the resistor mismatch and comparator
complexity is further reduced in favor of comparator structure. Trimming is offsets. These two errors can be
digital complexity. performed by adding arrays of analog modeled (and combined) as a voltage
switches that are connected to the source, Vos , at the input of each
1.1 Calibration reference taps of the comparators so comparator, as shown in Fig. 1(a). For a
Calibration techniques for flash ADCs that the high-speed signal path of the low-resolution ADC, the matching of
have been reported in [2]-[6]. In most converter is not affected. the resistors is usually much better than
of these techniques [2]-[4], [6], the To illustrate the calibration technique, the nominal resolution of the flash
analog part — especially the behavioral-level simulations can be converter, so the reference voltages
high-speed analog signal path — of performed. Individual error sources can from the resistor ladder can be treated
the flash converter is affected by the then be isolated, and their effects can as ideal values [6]. However, this is not
calibration circuitry. In some of these be analyzed separately. In [1], a the case for higher-resolution flash
techniques [3], [4], offset compensation transistor-level ADC that uses the ADCs.
(trimming) is performed inside the calibration technique is described, and In Fig. 1(a), the comparator is
comparator structures. In [3], trimming practical implementation issues are assumed to be ideal, and the error
is performed by adjusting the internal discussed. voltage, Vos , in practice would be
resistive loads of the comparator In section 2, main sources of static described by statistical variation over
preamplifiers. However, these loads are error are modeled. In section 3, the many individuals. This is shown in Fig. 1
often difficult to trim accurately because calibration technique is described, and (b), where Vr,i is the ideal reference
of their high gain and nonlinearity, and in section 4, the estimation process is level of the ith comparator, and Vos,i is
trimming might be inefficient. In [2] and described in mathematical detail. In the corresponding offset voltage source
[6], linear trimming is performed section 5, the trimming process and of the ideal reference. The ith interval
efficiently by adjusting the voltages related practical issues are discussed. between two adjacent reference levels
generated by the reference ladder. In In section 6, simulation results are is denoted Ii. In an ideal converter, the
[2], an accurate digital-to-analog
converter (DAC) generates a calibration
signal, but the design of such a DAC Vr,i Vr,i
still has a high degree of analog
complexity. In [6], each comparator is Vr,i - VOS,i
VOS,i
chosen sequentially and calibrated. - + Vr,i -1 - VOS, i -1
+ Ii
This affects the main ADC structure; Vr,i - VOS,i
specifically, extra calibration circuitry

Vr,i - Vr,i -1 - VOS, i -1
affects the high-speed input signal
Vr,i -1 Vr,i -1
path. In [5], trimming is performed by
adjusting the bulk voltages of the
comparator input transistors to keep the
high-speed signal path intact. (a) (b) (c)
However, the trimming is nonlinear
because of the nonlinear relationship ▲Figure 1. (a) Static errors with voltage sources, Vos,i, and their effects for (b) small
between the bulk and threshold and (c) large offsets.

36 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P40
A Histogram-Based Static-Error Correction Technique for Flash ADCs Special Topic
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

samples belong to. The counter records


f in (x ) f out (x )
the number of samples for each
interval. The ideal number of samples
for each Ii is calculated because the
input PDF must be known with
X X
-VR VR -VR VR reasonable accuracy.
The estimation block estimates the
error voltage source, Vos,i, by using the
X
V in Flash ADC difference between Ni and Ni , where Ni
is the desired number of samples
belonging to Ii , and Ni ( ~ denotes the
PDF ◀Figure 2.
Generator
ADC: analog-to-digital converter
A histogram-based non-ideal case) is the measured
PDF: probability density function
calibration technique. number of samples belonging to Ii. The
estimations are then used by the
i th interval is f in(x ) and f out(x ), respectively. The trimming block to compensate for static
reference voltage of the ADC is VR, and errors. As described in section 5, this
Vr,i -1 ≤ Ii ≤ Vr,i (1)
conversion is only valid for inputs in the trimming block compensates for ADC
where i = 1, 2,..., 2 , and the boundaries
n
range -VR < V in < VR because the ADC error by adjusting the voltage taps of
are given by Vr,0 =-VR and would otherwise saturate at either end. the resistor ladder. This adjustment
Vr, 2n = +VR so that the ADC range is 2VR. An ADC system operates in normal or changes the ADC reference levels,
In practice, these intervals are changed calibration mode. In normal mode, the which are generated by the reference
by offset errors, as in input signal, V in, is connected to the selection block.
Fig. 1(b). In the case of large offset flash ADC input (node x in Fig. 2), and
values, Ii may disappear, and another the ADC converts normally. In
interval may be generated. In practice, calibration mode, the output of the PDF 4 Offset Error Estimation
many of the reference levels are generator is connected to the ADC For simplicity, the proposed
displaced from their ideal locations, input. The PDF generator produces an calibration technique is used in a flash
and combined with the comparator analog signal with a known probability ADC with 16 decision levels, which
offset errors, these reference levels may density function, f in(x ). The output PDF, corresponds to a resolution of n = 4
overlap. f out(x ), is a quantized version of f in(x ). bits. The calibration technique is then
The output PDF is a discrete function in extended to higher resolutions that
terms of Ii. The input PDF, however, is a have greater hardware complexity. In
3 Calibration Technique continuous function. Because of static an IC implementation, extending the
To calibrate the ADC, the error errors, the two PDFs are not equal. In resolution limits the number of blocks,
voltage sources, V os,i (Fig. 1), have to be histogram-based
V
estimated and compensated for. During calibration, the R

estimation, the offset voltage or, as in difference between +

many cases, just its polarity, is these two PDFs is used


-
+
determined [3], [5], [6]. In trimming, the to extract the ADC -

derived offset value can be errors.


Reference Selection

compensated for by using analog Fig. 3 shows an n -bit


circuit-level techniques. flash ADC that uses
Decoder

Digital

In the proposed technique, histogram-based Output


estimation and trimming are performed calibration. The MUX
Array
without the comparator structure being calibration units are
modified. This ensures that the indicated by the dashed +
- Interval
high-speed input signal is not line. An array of + Detector
adversely affected. Estimation can be multiplexers (MUX) is -

performed without modifying the used to select a set of -V


in
Counter
converter structure by relying on the comparators for
R

V in
T/H
input signal characteristics, as is calibration. The outputs PDF
commonly done in histogram-based of the array are Generator Trimming Estimation

test methods. connected to the


Calibration Unit
The basis of the proposed technique interval detector block.
MUX: multiplexers PDF: probability density function T/H: track and hold circuit
is shown in Fig. 2. The probability This block determines
density functions (PDFs) of the input which intervals, Ii (i = 1, ▲Figure 3. An n -bit flash converter. Additional calibration units
and output signals of the converter are 2,...,16), the input are indicated by the dashed line.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 37

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P41
Special Topic A Histogram-Based Static-Error Correction Technique for Flash ADCs
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

estimated using the initial condition (5), time during the calibration, 16
Vr, 1 Vr, 1
and offset errors related to comparators comparators are chosen and their
Vr, 1 - VOS, 1 8 to 14 are estimated using initial offsets are estimated. The results are
I1 condition (6). stored in a RAM. Then, another set of
For large offset values, as shown in 16 comparators is selected for the
V bot =-VR
V bot =-VR Fig. 1(c), Ii may disappear, creating a estimation. This procedure continues
Vr, 1 - VOS, 1
negative interval. In the case of an until all offsets of all comparators have
(a) (b) overlap, (4) must be modified so that been estimated and stored in the RAM.
the estimation can handle errors given Only one state, corresponding to a
▲Figure 4. The effect of static error values on by standard 4-bit flash ADC, contains
interval Ii for (a) small and (b) large errors. ~ i - 1 comparators. This is the state
Ni + Ni when the first input of each multiplexer
Vos,i = Vos,i -1 +2VR· . (7)
(which grows exponentially with ADC N is chosen.
resolution) so that the critical area does This modified version of (4) is used
not increase too much. instead of (4). The case of small offset
values (Vos,i) in comparator 1 is shown in 5 ADC Trimming Process
4.1 Estimation for a Low-Resolution Fig. 4(a), where (5) is valid. For large We take the trimming approach
ADC error values, the reference level may be suggested by Chen et al. in [6].
If the PDF circuit generates an analog pushed below the bottom voltage -VR, Besides having single LSB steps for the
signal with a uniform distribution as in Fig. 4(b). In this case, Vos,1 is ideal reference voltages, the resistor
(constant PDF) in the range [-VR, VR ], decreased during trimming until the ladder also generates smaller steps,
then for an ideal 4-bit converter, and situation shown in Fig. 4(a) is reached. that is, fractions of an LSB to allow the
for every sufficiently large batch of N Again, (5) is valid and can be used in reference voltages to be more finely
input samples, on average the estimation process. The same adjusted. This implies that trimming is
strategy is used for the upper-end done without any changes being made
Ni = N/16 (2)
using a modified version of (6). to the comparator.
samples in each interval i = 1, 2,..., 16. The approach is shown in Fig. 6. An
In a non-ideal 4-bit converter with 4.2 Extending to Higher appropriate voltage tap is selected by
interval Ii, as in Fig. 1(b), the expected Resolutions the trimming circuit in order to
number of samples is To extend the low-resolution compensate for the offset value. In the
technique to a general n -bit converter, resistor ladder, the coarse taps
2VR
~ -Vos,i + Vos,i -1 a segmented structure can be used generate the ideal reference voltages,
Ni = 16 ×N. (3) (Fig. 5). This structure
2VR
saves hardware for +
- l
By rearranging (3), a recursive higher-resolution ADCs MUX

expression is obtained: because a substantial part


+
- 2
#1
VR
~ of the hardware grows +
1
Ni - Ni
-

Vos,i = Vos,i -1 -2VR· . (4) linearly rather than •


N

exponentially. These +
- l
Equation (4) can now be used to benefits are described in MUX

4-bit Estimation Block

estimate the offset values, assuming more detail in [1]. +


- 2
Trimming

#i
Vos,0 = Vos,16 = 0. According to (4), In the segmented +
-
1 RAM
comparators 1 and 15 give two initial approach, m = 2n - 1 •

estimated values: comparators are divided +


l
-

~ into 16 = 24 subgroups MUX


Vos,1 = -2VR· N1 - 1 (5) (starting with the original +


- 2
N 16 4-bits). Each group +
1
#15
-
and consists of i = 2 n-4

-VR +
comparators, except for the l
~ -

Vos,15 = -2VR· N16- 1 .


MUX
(6) last group, which contains
+
-
N 16
i - 1 comparators. Sixteen +
-
2 #16
'1' 1
Either one of (5) or (6) is a sufficient multiplexers are used to

starting condition for the calibration choose the output of one
Select times
algorithm. However, to minimize comparator from each
MUX: multiplexers
computational error accumulation group and connect these to
during error estimation, the offset errors the 16 -decision-level ▲Figure 5. Extending 4-bit ADC calibration to an n -bit
related to comparator 2 to 7 are estimation block. At any converter with l = 2n - 4 comparators in each group.

38 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P42
A Histogram-Based Static-Error Correction Technique for Flash ADCs Special Topic
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

parasitic capacitor of input transistors. In particular, the



to the sensitive values of the parasitic capacitors, Cgs

inputs of the and Cgd , change. Therefore, simulated



Coarse Tap V r, i + 1 •
CR i
comparator. This noise is asymmetrical. In Fig. 8, we
V r, i + (M -1)δV r • +
disturbs the input compare the normalized kickback noise
and reference levels for ideal and voltage-dependent
- voltages. A model cases.
V r, i + (M -2)δV r • of kickback noise When extra switches are inserted for
Fine
is shown in Fig. 7 selecting finer reference levels, more

Taps
for an ordinary decoupling capacitance is, by
V r, i + 2δV r •
differential-pair implication, added to different taps in
comparator input the resistor ladder. Adding trimming
V r, i +δV r •
stage. circuitry does affect kickback noise but
Coarse Tap V r, i • … Trimming To simulate the in a positive way.
effects of kickback An increased time constant is an


noise, a dynamic important problem when the resistor


▲Figure 6. Trimming a comparator by selecting taps from the resistor ladder. comparator with ladder charges the comparator input.
relatively large Using analog switches that are too
kickback is chosen. This comparator is small in order to save area makes the
used in a 6-bit flash ADC architecture problem worse. However, the time
Latch
with a 0.5 V signal range, that is, VR = constant appears as a configuration

0.25 V. The number of sub-LSB steps time, T conf, which is the time the system
Vi+1
is M = 4, see (8). The amplitude takes to settle after the trimming
distribution for simulated kickback in command has been applied (Fig. 9).
Vi • V in
different comparators is shown in Fig. 8. The perturbation is the effect of the
Vi+1 •
The effect of the kickback noise kickback noise. The amplitude of the
depends on the reference level and the kickback noise is Vn, and the residual

impedance of the resistor ladder at a kickback error, caused by the resistor


Reference Resistor
Ladder
particular node. If resistance between ladder’ s long settling time in response
the taps of the ladder is very low, the to kickback disturbance, is ΔVn .
▲Figure 7. Kickback noise in a latched kickback is very small. The noise The additional analog switches are
comparator. amplitude is proportional to the the configuration switches, and their on/
impedance of each tap of the resistor off state is changed at the end of each
Vr,I . In addition, there are M resistors ladder: calibration cycle. During the
between two consecutive coarse taps converter’ s normal operation, their
Ri = i - i n ·R
2

that generate M - 1 fine taps. Each fine (9) state is fixed. Depending on the
2
tap generates a voltage of Vr,i +δVr , resistance of the resistor ladder and the
where where i = 1, 2,...,2n - 1 is the tap size of the switches, the configuration
number, and R is the unit resistance of time differs from a few clock cycles to
Vr,i +1-Vr,i
δVr = (8) the reference ladder. According to (9), several. The design of the circuits must
M
a symmetrical shape can be expected. include a time constant that is
is the fine-level step — a fraction of the However, the amount of kickback noise negligible compared with the overall
original coarse LSB step. also depends on the input signal level calibration time. A more detailed picture
Our aim is to create a calibration as well as the reference level created of the effect of kickback noise on the
process that does not adversely affect by the voltage and capacitance calibration technique is given by the
the performance of the ADC operating differences between the gate and drain transistor-level simulation in [1]. The
in normal mode. However, in practice,
: Ideal Curve
this is not possible. Any calibration 1.0
Normalized Kickback Noise Amplitude

: Actual Curve
changes or influences the ADC 0.8
structure, and this can affect the overall Figure 8. ▶
performance. Kickback noise for 0.6
Because more switches are added to different tap numbers.
The solid line represents 0.4
the ADC’s design (Fig. 6), kickback
the actual curve and the
noise, a well-known problem, emerges. dotted line is the
0.2
In a clocked comparator, the large predicted curve when 0
variations on the regeneration nodes in impedance variations 0 10 20 30 40 50 60
the latch circuit are fed back through a are taken into account. Tap Number

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 39

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P43
Special Topic A Histogram-Based Static-Error Correction Technique for Flash ADCs
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

532 slice flip flops, and 1280 four-input and trimming is performed by adjusting
lookup tables were also used. the comparator reference voltages
Vr, j One hundred Monte Carlo analyses through arrays of analog switches.

ΔVn were run, and the performance of the A behavioral-level 8-bit flash ADC
Vn
ADC before and after calibration was was designed, and typical errors were
measured. The static performance in added to the comparators, resistor
~
~ terms of DNL and INL is shown in Figs. ladder, and PDF generator. The results
10(a) and (b), respectively. For about of 100 Monte Carlo analysis show that
Vr, j T conf 98% of the Monte Carlo runs, the converter performance was improved
converter’ s performance
▲Figure 9. Settling behavior of the resistor considerably improved. After ▼Table 1. Design parameters
ladder in response to changing from
calibration, the DNL was reduced
reference level V r,i to V r, j (as a result of Parameter Value
inserting a trimming command) also to on average from 4 to 0.5 LSB, and
kickback noise. the INL was reduced on average ADC Resolution 8
from 4.2 to 0.35 LSB. The failing Offset Distribution (σ ) os 25 mV
simulation uses a 65 nm CMOS with 2% were cases where the offset Offset Distribution of TSG Comparators (σ ) 5 mVos,tsg

1.2 V power supply. was large enough to end up


TSG Nonlinearity 5%
outside the correctable range.
Effective Resolution of Resistor Ladder 7 bits
6 Simulation Results Reference Voltage, VR 1V
To determine the efficiency of the 7 Conclusions Number of Samples Required in Each Calibration Cycle, N 213
proposed calibration technique, a This paper describes a
Number of Calibration Cycles for a Complete Correction 64
behavioral-level model of an 8-bit calibration technique for flash
flash ADC using the segmented ADCs that is based on a histogram Total Number of Samples per Calibration Instant 219
calibration technique was designed test method. The ADC is Number of Fine Voltage Steps, M 4
and simulated. A triangular signal calibrated without its structure
Word Length in Digital Part 12 bits
generator (TSG) was used to realize a being adversely affected.
uniform distribution. The effects of an Estimation is performed digitally, TSG: triangular signal generator
unwanted, non-uniform distribution
caused by, for example, nonlinearity 7 : Before Calibration
are discussed in [1]. The TSG, was : After Calibration
6
configured so that a triangular
waveform with a frequency of about 5
100 kHz was obtained, and the
DNL (LSB)

4
sampling frequency of the ADC was a
modest 400 MHz. 3
The system design parameters and 2
applied-error sources are shown in
1
Table 1. Each calibration cycle contains
the estimation of equivalent offset 0
0 10 20 30 40 50 60 70 80 90 100
voltages for a set of 16 comparators Simulation Index
chosen by the multiplexer array. In the (a)
case of interval overlap, one more 7 : Before Calibration
calibration cycle is required. Further on, : After Calibration
two calibration cycles are required to 6

fully compensate for the TSG 5


comparator offsets. Because an 8-bit
DNL (LSB)

4
converter is being studied, there are 16
sets of comparators to trim, and 64 3
cycles are needed to fully estimate and 2
trim all the error sources in the ADC
structure. 1

The estimation was implemented in 0


0 10 20 30 40 50 60 70 80 90 100
register-transfer level (RTL) and Simulation Index
synthesized on a Xilinx Spartan 3 (b)
FGPA. The post-synthesis code was
used in the simulations, and 693 slices, ▲Figure 10. (a) DNL and (b) INL of the 8-bit flash ADC before and after calibration.

40 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P44
A Histogram-Based Static-Error Correction Technique for Flash ADCs Special Topic
Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani

considerably in 98% of the runs. Instrum. Meas., vol. 47, no. 6, pp. 1448-1456, 1998. [11] Degang Chen, Xin Dai and R. Geiger,“A
[8] J. Doernberg, H.-S. Lee, and D.A. Hodges, cost-effective histogram test-based algorithm for
This work can be used as a “Full-speed testing of A/D converters,”IEEE J. digital calibration of high precision pipelined
grounding for the study and Solid-State Circ., vol. 19, no. 6, pp. 820- 827, Dec. ADCs,”in Proc. IEEE Int. Symp.Circ.Syst., Kobe,
1984. Japan, May 2005, pp. 4831-4834.
implementation of ADC calibration [9] Y. Betrand, F. Azais, S. Bernard, and M. Renovell, [12] Degang Chen Le Jin and R. Geiger,“A digital self
techniques. Prospects and limitations of “Towards an ADC BIST scheme using the calibration algorithm for ADCs based on histogram
the technique are also highlighted in histogram test technique,”in IEEE Proc. European test using low-linearity input signals,”in IEEE Int.
Test Workshop, Cascais, Portugal, May 2000, pp. Symp. Circ. Syst., Kobe, Japan, May 2005, pp.
this work. An IC implementation of an 53-58. 1378-1381.
ADC using the proposed calibration [10] U. Eduri and F. Maloberti,“Online calibration of a [13] J. Elbornsson and J.-E. Eklund,“Histogram based
Nyquist-rate analog-to-digital converter using correction of matching errors in subranged ADC,”
technique is detailed in [1]. output code-density histograms,”IEEE Trans. in Proc. 27th European Solid-State Circ. Conf.,
Circ. Syst. I, vol. 51, no. 1, pp. 15-24, Jan. 2004. Villach, Austria, 2001, pp. 555-558.
References
[1] J. Wikner, A. Jalili, S. M. Sayedi, and R. Dehghani,
“A histogram-based static error correction Biographies
technique for flash ADCs: Implementation aspects,”
accepted for publication in ZTE Communications,
Armin Jalili (arminj@ec.iut.ac.ir) received his B.Sc. and M.Sc. degrees in electrical engineering from Isfahan
Mar. 2012.
University of Technology (IUT) in 2004 and 2006. He is currently working towards his Ph.D. degree in electrical
[2] H. Yu and M.-C. F. Chang,“A 1 V 1.25 GS/s 8-bit
engineering at IUT. His research interests include ADC design.
self-calibrated flash ADC in 90 nm digital CMOS,”
IEEE Trans. Circ.. Syst.. II, vol. 55, no. 7, pp.
J. Jacob Wikner (jacob.wikner@liu.se) received his Ph.D. from the Department of Electrical Engineering,
668-672, Jul. 2008.
Linköping University, Sweden, in 2001. He has worked as a research engineer at Ericsson Microelectronics, senior
[3] C.-W. Lin Y.-Z. Lin and S.-J. Chang,“A 5-bit 3.2
analog design engineer at Infineon Technologies, and senior design engineer and chip architect at Sicon
GS/s flash ADC with a digital offset calibration
Semiconductor. Dr. Wikner has been an associate professor at Linköping University since 2009. His research
scheme,”IEEE Trans. Very Large Scale Integration
interests include biologically inspired architectures, high-speed ADC and DAC, and general analog and
(VLSI) Syst., vol. 18, no.3, pp. 509-513, Mar. 2010.
mixed-signal design. He holds six patents, has published 40 scientific papers, and has co-authored“CMOS Data
[4] V. Srinivas, S. Pavan, A. Lachhwani, and N.
Converters for Telecommunications.”He is the co-founder of CogniCatus and AnaCatum Design.
Sasidhar,“A distortion compensating flash analog
to-digital conversion technique,”IEEE J. Solid
State Circ., vol. 41, no. 9, pp. 1959-1969, Sep. Sayed Masoud Sayedi (m_sayedi@cc.iut.ac.ir) received his B.Sc. and M.Sc. degrees in electrical engineering
2006. from Isfahan University of Technology (IUT), Iran, in 1986 and 1988. He received his Ph.D. degree in electronics
[5] Jin Liu Junjie Yao and Hoi Lee,“Bulk voltage from Concordia University in 1996. From 1988 to 1992, and since 1997, he has worked at IUT, where he is currently
trimming offset calibration for high-speed flash an associate professor in the Department of Electrical and Computer Engineering. His research interests include
ADCs,”IEEE Trans. Circ. Syst. II, vol. 57, no. 2, pp. VLSI fabrication processes, low power VLSI circuits, vision sensors, and data converters.
110-114, Feb. 2010.
[6] Chun-Ying Chen; M. Q. Le and Kwang Young Kim, Rasoul Dehghani (dehghani@cc.iut.ac.ir ) received his B.S.E.E., M.Sc., and PhD degrees in electrical
“A low power 6-bit flash ADC with reference engineering from Sharif University of Technology (SUT), Iran, in 1988, 1991 and 2004. From 1987 to 1991, he
voltage and common-mode calibration,”IEEE J. worked on design and implementation of different electronic circuits and systems at SUT. From 1991 to 1998, he
Solid-State Circ., vol. 44, no. 4, pp. 1041-1046, was involved in implementing various electronic circuits focused on industrial applications. From 1998 to 2004, he
Apr. 2009. worked with Emad Co. in Tehran and Jaalaa Company in Kuala Lumpur as a senior design engineer. Since 2006,
[7] J. Larrabee, F.H. Irons and D.M. Hummels,“Using he has been an assistant professor at IUT. His research interests include RF IC design for wireless communication,
sine wave histograms to estimate analog-to digital frequency synthesis, and low-voltage low-power circuits.
converter dynamic error functions,”IEEE Trans.

⬅ From P.34 N. Kikuma, S. Hori, T. Wada.“Experimental study of Trans. Broadcasting, vol. 53, no.1, pp. 161-170,
adaptive array antenna system for ISDB-T 2007.
elemental computing array (ECA), high-speed mobile reception,”Proc. IEEE Int. [8] M. Chari, F. Ling, A. Mantravadi, R. Krishnamoorthi,
which is designed to achieve a better Symp. Antenna and Propag., pp.1697-1700, R. Vijayan, G. Walker, R. Chandhok,“FLO physical
Hawaii, 2007. layer: An overview,”IEEE Trans. Broadcasting, vol.
compromise between performance, [6] Fa-Long Luo and R. Unbehauen,“A minor 53, no. 1 pp. 145-160, Mar. 2007.
flexibility, cost, and power efficiency. subspace analysis algorithm,”in IEEE Trans. Neural [9] Fa-Long Luo, Digital Front-End in Wireless
With ECA, the FGPA scheme can have Netw., vol. 8, no.5, 1997, pp1149-1155. Communications and Broadcasting, Circuits and
[7] M. Kornfeld, G. May,“DVB-H and IP Signal Processing. Cambridge: Cambridge
performance, power, and cost similar to datacast-broadcast to handheld devices,”IEEE University Press, 2011.
ASICs. ECA is far more flexible than a Biographies
DSP with accelerators. It is also much
Fa-Long Luo (falong.luo@elementcxi.com) is chief scientist at Element CXI. He has been the editor-in-chief of
cheaper and consumes far less power the International Journal of Digital Multimedia Broadcasting since 2007. Fa-Long Luo is now the chairman of the
than FPGA devices. IEEE Industry DSP Standing Committee and technical board member of the IEEE Signal Processing Society. He
has 28 years of research and industry experience in multimedia, communications, and broadcasting with
real-time applications and standards. Fa-Log Luo has received worldwide recognition. He has authored and
References edited 4 books, more than 100 technical papers and 18 patents.
[1] D. H. Pham, J. Gao, T. Tabata, H. Asato, S. Hori, T.
Wada,“Implementation of joint pre-FFT adaptive Ward Williams (ward.williams@elementcxi.com) is vice president of marketing for Element CXI. Ward has broad
array antenna and post-FFT space diversity technology marketing and business development experience in senior management positions. He has worked for
combining for mobile ISDB-T receiver,”IEICE companies such as Xilinx, Chips and Systems, S3/SonciBLUE, and Hewlett-Packard. He frequently speaks at
Trans., vol. E91-B, no.1, pp.127-137, 2008. international technology shows or forums such as IEEE ICASSP and Global Mobile Congress. His research
[2] Fa-Long Luo, Multimedia Mobile Broadcasting interests include digital front-end, software-defined radio, single-chip solutions, SoC-based hardware, and
Standards: Technology and Practice Springer, 2008. software IPs.
[3] Fa-Long Luo, R. Unbehauen, Applied Neural
Networks for Signal Processing. Cambridge: Bruce Gladstone (bruce.gladstone@elementcxi.com) has worked in the semiconductor and EDA industries for
Cambridge University Press, 1999. over 20 years. After graduating from the University of Illinois, he went to Silicon Valley to work in IC design,
[4] I. Nobuo, T. Kenichi,“HDTV Mobile Reception in applications, and technical marketing positions. Bruce has worked for companies such as AMD, Western Digital,
Automobiles,”Proc. IEEE, vol.94, no.1, Synopsys, and other companies in California and Japan. As a senior director at Element CXI, he is focused on
pp.274-280, 2006. putting new-generation programmable semiconductors into the next-generation communications products.
[5] T. Tabata, H. Asato, Dang Hai Pham, M. Fujimoto,

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 41

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P45
Guest Editorial

Advances in Mobile Data Communications

Sean Cai Li Mo

T
his special issue of ZTE Communications focuses on information in order to improve overall network performance.
recent advances in mobile data communications for the In SCML, the combined burst rate of a UE can be significantly
ICT and telecommunications industries. The improved between neighboring cells.
ever-increasing amount of mobile data traffic has been In“Uplink Power Control for MIMO-OFDMA Cellular
the subject of many studies. This research area is widely Systems,”by Rongzhen Yang, uplink power control
applicable to contemporary technology and network algorithms, including FPC used in 3GPP LTE and
optimization techniques. LTE-Advanced, are compared. A novel power control
The priority of most operators is to further expand their algorithm is proposed for MIMO-OFDMA systems. A
existing 3G networks and focus on new 3G technologies simplified maximum sector throughput (SMST) algorithm is
being developed to meet ever-increasing data traffic and also proposed to maximize the sector throughput by adjusting
data speed. Optimization techniques of 3G and 4G networks uplink transmit power.
are being explored in order to improve network performance. In“Mobile Backhaul Solutions,”by Li Mo et al., mobile
Today, operators are concerned about adopting the right backhaul requirements are reviewed, and backhaul solutions
backhaul technologies, leveraging existing Wi-Fi networks to are proposed with timing synchronization, multiprotocol label
offload data traffic, building and evolving the core network, switching (MPLS); operation administration, and maintenance
and introducing mobile cloud computing in order to support (OAM), and protection taken into consideration.
expanded business in the future. We thank all the authors for their excellent papers and the
In this special issue of ZTE Communications, we called for reviewers that had helped us with their careful and detailed
papers that provide greater insight into improved mobile data critical reviews. We are very grateful to the editorial board for
networks. Inter cell interference coordination (ICIC), their helpful suggestions in improving the quality of the papers
coordinated multipoint transmission (CoMP), multiple input in this issue.
multiple output (MIMO), adaptive beamforming, and network
load balancing (NLB) are introduced. These techniques may
Biographies
require operators to review the way they engineer and deploy
their networks. Techniques to further improve network Sean Cai (SeanCai@zte.com.cn) is the vice president of wireless at ZTE.
performance are discussed in this issue. High-level backhaul He is responsible for developing 4G technologies as well as strategic
technologies and core network evolution paths are also marketing and technical support for business development. He leads a
technical team in USA, working closely with leading operators. He is
discussed in this issue.
actively involved in the working group activities of various standard
In“Enhanced Cell Edge Performance with Transmit Power bodies. He has more than 20 years’professional experience in
Shaping and Multipoint/Multiflow Techniques,”by Philip telecommunications, and he specializes in advanced wireless products
Pietraski et al., a new concept called Fuzzy Cells is introduced research and development. Prior to joining ZTE, he held various senior
to improve cell coverage. Fuzzy Cells enable user equipment positions in infrastructure ASIC architecture design, CDMA, and optical
(UE) to access the full system bandwidth in a sector by using development. He has been awarded multiple international patents in
a component carrier (CC) transmitted at higher power than the telecommunications. He was recognized as one of the“Mobile Movers &
Shakers”in 2008 by RCR Wireless News for his leadership in the
other CC frequencies. If at least three CC frequencies are
development of new wireless technology.
used, three sets of cell boundary locations can be created so
that no UE is simultaneously in the cell-edge region for every Li Mo (mo.li@zte.com.cn) received his B.Eng. degree from the
CC. Cell coverage can be improved using the techniques Department of Electrical Engineering, Queen’ s University, in 1989. After
proposed in the article. graduation, he worked at IBM, Nortel, and Fujitsu before joining ZTE in
In“Spatial Load Balancing in Wide-Area Wireless 2001. Currently, Dr. Mo is the chief architect for ZTE USA and works as a
marketing specialist for ZTE headquarters in Shenzhen. Dr. Mo has
Networks,”by Kambiz Azarian et al., NLB and single-carrier
worked in the networking industry for more than 20 years, and has
multilink (SCML) are compared. These techniques are used to numerous publications and U.S. patents. His research interests include
increase network capacity and improve user experience. In fixed and mobile core networks, session control, QoS, and routing. Dr. Mo
NLB, each sector-carrier periodically provides its loading is also an active member of IEEE, ITU, ETSI, and IETF.

42 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P46
Enhanced Cell-Edge Performance with Transmit Power-Shaping and Multipoint, Multiflow Techniques Special Topic
Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang

Enhanced Cell-Edge Performance


with Transmit Power-Shaping and
Multipoint, Multiflow Techniques
Philip Pietraski, Gregg Charlton, Rui Yang, and Carl Wang
(InterDigital Communications, LLC., King Of Prussia,PA19406, U. S. A.)

Abstract: In this paper, we present a technique called“fuzzy cells ”that builds on the multicarrier features of Long Term
Evolution-Advanced (LTE-A) and high-speed packet access (HSPA). Multiple carriers are aggregated to create a larger system
bandwidth, and these carriers are transmitted at different powers by each sector antenna. This creates a set of cell-edge locations that
differ from one frequency to the next. System-level simulations are performed to estimate individual user and average throughput for a
hexagonal deployment of 3-sector base stations. For moderately high loads, a fuzzy cell deployment can improve tenth percentile
(cell-edge) user throughput by 100% and can improve average throughput by about 30% compared with a reuse 1 scheme. Fuzzy cells
reduce inter-cell interference in the same way as higher-order reuse schemes and allow users to access the full system bandwidth.

Keywords: LTE; fractional frequency reuse; multicarrier; flow aggregation; system simulation

hexagonal deployment, this cell-edge More importantly, some UEs will not
1 Introduction condition affects much of the have access to the full system

I
n current and evolving cellular deployment area. In an OFDM system, bandwidth. In the FFR case shown in
systems (LTE is the example in this such as LTE Release 8, the cell-edge Fig.2, the spectrum is split into two
paper) [1], it is generally very problem can be mitigated to some parts: reuse 3 and reuse 1. Some of the
difficult to create a uniform user extent by organizing UEs into groups UEs are classified as cell edge, and
experience because throughput at the according to different parts of the others are classified as cell center. The
cell edge is limited by interference from spectrum and using different transmit classification determines which parts of
other cells. In standard frequency powers for these groups. the spectrum are available to the UEs.
reuse 1, the cell-edge downlink (DL) Cell-edge UEs are grouped The details depend on the particular
signal to interference plus noise ratio logically. They are assigned a specific FFR scheme, but the cell-edge UEs
(SINR) can be less than 0 dB, which part of the spectrum and are allocated are expected to have improved SINR in
limits user throughput even if there is a a potentially higher power, as in soft the red, blue, or green frequency areas.
large number of resource blocks (RBs) frequency reuse (SFR), or higher Improved SINR comes at the price of
for UEs. The cell-edge problem is frequency reuse transmission, as in reduced accessible bandwidth for UEs.
shown in Fig.1. fractional frequency reuse (FFR).
For UEs close to an E-UTRAN Although the data signal received by
Node B (eNB), the DL SINR is quite cell-edge UEs may be improved, this
high. However, as the UE moves away approach has limitations. Take, for SINR
from the eNB, the DL SINR decreases example, the cell coverage and control
until the UE is midway between the channel. The control channel spans the
eNBs (at the cell edge). A handover system bandwidth and is shared
User Location
(HO) then occurs; the UE passes to the among UEs. Thus, the same extended
SINR: signal to interference plus noise ratio
next eNB, and SINR starts to increase range that a frequency selective,
again. SINR is lowest near the cell UE-specific data channel might attain ▲Figure 1. Cell edge in a one-dimensional
edge. In a typical two-dimensional is not possible for UEs at the cell edge. deployment.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 43

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P47
Special Topic Enhanced Cell-Edge Performance with Transmit Power-Shaping and Multipoint, Multiflow Techniques
Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang

Its coverage area is determined by


UE-CC selection rules and parameters,
3 Sector 1 for example, transmit power and cell
bias. It is close to the usual definition of
2 Sector 2 a cell or sector.
Cell edge UEs: the set of UEs in
1 Sector 3
which throughput levels are at most
◀Figure 2. those represented by the tenth
Frequency
FFR spectrum distribution. percentile of UE throughputs.
UE perceived throughput: the
In LTE Release 10, carrier to UEs from different base stations on number of bits downloaded by a UE
aggregation (CA) of component different CCs. divided by the time that the UE has data
carriers (CC) allows spectrum to be in its buffer to be transmitted.
aggregated. Each CC has a bandwidth
that is compatible with Release 8, but 2 Fuzzy Cells 2.2 Fuzzy Cell Concept
UEs can attach to and be scheduled on The key to fuzzy cells is enabling a
multiple CCs. Each of these CCs can 2.1 Definitions UE to connect to the best CC in each
be considered a cell of its own with a Sector antenna: an antenna that CC frequency regardless of the site in
different carrier frequency and, provides coverage to an area in one or which the CC originates. Enabling the
potentially, different coverage areas. more of the CC frequencies that make UE to connect in this way allows a UE to
Differences in coverage may occur as a up the system bandwidth. In the access the full system bandwidth when
result of differences in propagation examples discussed herein, sector SFR-type power allocation is used.
conditions; however, system transmit antennas support either three or six CC Then, a good SINR can be achieved in
power, HO thresholds (for example, cell frequencies. each CC frequency within the system
biasing), and even sector antenna Site: a collection of co-located sector bandwidth. Multisite connection is
direction can be changed to modify the antennas. Each site is composed of shown in Fig.3. As the UE crosses the
DL coverage. This solves the control three sector antennas, and all sector cell boundary in a given CC frequency,
channel problem; the power of the antennas at a site are part of the same it drops that CC and picks up a new CC
control channel can be adjusted for eNB. from a different site in the same CC
each CC, thus enabling SFR. However, Hexagon: a geographical area frequency. In this way, the UE always
the problem remains that UEs cannot defined by site locations only. A has access to full system bandwidth
access the full system bandwidth. hexagon is defined by geometry and is even midway between sites. The cell
The cell-edge problem in Fig.1 has not affected by transmit antenna power edge is blurred, creating the concept of
also been approached from the and shadowing. a“fuzzy”cell. The resulting cell-edge
perspective of intercell interference CC frequency: a frequency band performance is enhanced, and this
(ICI) and ICI mitigation using carrying the data and control signals of improvement can be measured in terms
coordinated base stations [2]. Adjacent a particular CC. The sum of all the CC of equivalent SINR (eSINR).
cooperative base station clusters can frequencies is the total system Equivalent SINR is the SINR needed
generate different interference levels in bandwidth. to maintain the same throughput over
different frequency subchannels by CC : is a component carrier in an the entire system bandwidth (assuming
optimizing power allocation to those aggregation of carriers. This comprises the same SINR is observed over the
subchannels. In this way, SINR near the the CC frequency and sector antenna. entire system bandwidth). To calculate
cluster edge can be improved without
the need for fast coordination between
Freq 1 = Blue
the clusters. In [3], this approach was
SINR of Each Component Carrier

Freq 2 = Red Effective SINR


analyzed in a one-dimensional space, over Both Carriers

and power allocation was optimized Cell-Edge


Region Removed
based on an SINR balancing condition
at fixed locations in the cluster.
Therefore, power allocation depended
only on the system’s geometry, and the
effects of adjusting the transmit power
on cell loading were not taken into
account. The fuzzy cells technique Figure 3. ▶
relies on system capabilities that enable Cell-edge improvement
User Location
transmitting multiple powers on in one-dimensional
SINR: signal to interference plus noise ratio
different carriers and transmitting data fuzzy cell.

44 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P48
Enhanced Cell-Edge Performance with Transmit Power-Shaping and Multipoint, Multiflow Techniques Special Topic
Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang

created so that no UE is simultaneously


45 : SINR for Equal Power Carriers in the cell-edge region for every CC.
: SINR in Carrier 1
The cell boundaries are moved by
: SINR in Carrier 2
35
: Effective SINR over Full BW changing the transmit power and by
moving from one CC frequency to the
SINR (dB)

25 next. In Fig. 6, ΔP between high and


low power transmitters is 12 dB. The
15 magenta contours delineate where
SINR = 0 dB and are an estimate of the
5 cell boundaries.
A C B
In Fig. 7, the eSINR of the fuzzy cell
-5 deployment is shown alongside that of
D
◀Figure 4. the baseline. Although the maximum
BS1 BS2 eSINR for two isolated base eSINR is lower in the fuzzy cells than in
SINR: signal to interference plus noise ratio
stations. the baseline, there is no place in the
fuzzy cells where eSINR drops below 0.
16 coverage areas for the two CC This shows that fuzzy cell configuration
14 frequencies. better services cell-edge users. The
12 cumulative distribution functions (CDFs)
10 2.3 Fuzzy Cell SINR in a for eSINR in fuzzy cells and baseline
8 Two-Dimensional Deployment (Fig. 8) show that eSINR at the 10th
6 Fig. 5 shows a two-dimensional plot percentile is improved by
4
of SINR for a typical hexagonal site with approximately 4 dB when using a fuzzy
2
three sectors per site. With reuse 1, cell configuration.
0
-2
each cell has a coverage area that
-4
approximates the shape of a hexagon. 2.5 UE-CC Association Strategy
Assuming the eNB transmit powers are Every UE can be associated with the
▲Figure 5. Two-dimensional color map of sufficiently large to limit system sector antenna in each frequency that
SINR for reuse 1 baseline. interference, the worst possible SINR is provides the best biased SINR. Biasing
less than -4 dB without shadowing(Fig. artificially extends the coverage area of
eSINR, first, the SINR for the UE in each 5). With shadowing, the SINR may be small cells or is used for load
CC with which it is associated is even lower. This scenario is used as a balancing. With a small bias, ICI is
determined. The SINR for each of these baseline case. limited because the cell boundary is
CCs is then mapped into an achievable only slightly extended. However, more
throughput using the Shannon Capacity 2.4 eSINR in a Fuzzy Cell Deployment users are associated with CCs
formula. The achievable throughput in Unlike the cell boundaries in the transmitted at high power, and this
each CC is summed, and the result is reuse 1 baseline (Fig. 5), cell decreases the resources available to
mapped back into the eSINR, given by boundaries in a fuzzy cell deployment each user in those CCs. Conversely, a
are no longer hexagonal (Fig. 6). In the large bias better balances the
eSINR =2∑n log2(1+SINRn)/N -1 (1)
first CC frequency, applying higher resources available to each user at the
where n is the index of the CC, N is the transmit powers from some sectors expense of smaller SINR improvement.
number of CC frequencies, and SINRn creates larger cells. In another CC A tradeoff is necessary to obtain the
is the SINR of the UE in CC frequency n. frequency, the same phenomenon best combination of cell-edge and
Fig. 4 shows SINR and eSINR in the occurs, but the pattern is rotated. If at overall average throughput. Ideally,
case of two isolated base stations, each least three CC frequencies are used, cell-edge throughput is significantly
with two CCs transmitted with a power three sets of cell boundary locations are improved at the cost of a modest
difference of 12 dB.
The red and green curves represent 1500
SINR CC1
1500
SINR CC2
1500
SINR CC3

SINR for CC frequency 1 and CC 1000


25
1000
25
1000
25

frequency 2, respectively. The black 500


20
500
20
500
20

curve is the eSINR when the transmit 0


15
0
15
0
15

powers of both frequencies and base -500


10
-500
10
-500
10

stations are identical. The blue curve is 5 5 5


-1000 -1000 -1000
the improved eSINR resulting from 0 0 0
-1500 -1500 -1500
different transmit powers at the base -1500 -1000 -500 0 500 1000 1500 -1500 -1000 -500 0 500 1000 1500 -1500 -1000 -500 0 500 1000 1500
stations. Cell-edge eSINR is improved
by multisite connections and different ▲Figure 6. Two-dimensional SINR for a fuzzy cell deployment.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 45

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P49
Special Topic Enhanced Cell-Edge Performance with Transmit Power-Shaping and Multipoint, Multiflow Techniques
Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang

eSINR eSINR throughput for each UE in the system


1500 20
using all its connected CCs. The
1000
15 long-term SINR can be used as a
rough estimate of throughput in a
500
10 fading environment. Fig.10 shows
0 achievable throughput distribution for a
-500
5 power difference of 10 dB. From this
graph, we can expect cell-edge
0 ◀Figure 7.
-1000
Two-dimensional SINR for throughput to be nearly 85% better than
-1500 -5 baseline (left) and eSINR in the baseline and still have similar
-1500 -1000 -500 0 500 1000 1500 -1000 500 0 500 1000 1500
for fuzzy cells (right). average UE throughput. Furthermore, if
two of the three CCs are switched off at
100 sent over the backhaul to control each sector antenna (indicated by a
data-flow splitting. power difference of 100 dB in Fig. 10),
50
X2 latency modifies the start and eSINR distribution is further improved.
30 end times of the period where The tenth percentile throughput is
20
fuzzy cell operation produces nearly 160% better than in the baseline,
CDF (%)

10 gains. When the application and there is nearly 30% increase in


packet arrives at eNB1, data is average UE throughput. This estimate is
5 : Baseline immediately transmitted to the UE only accurate if there is little or no
: Fuzzy, 12 dB
3 but only from eNB1. Fuzzy cell competition among UEs for radio
2 operation begins only after the resources. As the loads increase
1
initial X2 data forwarding delay to towards full buffer-like operation, the
-5 0 5 10 15 eNB2; that is fuzzy cell gains are load imbalance between large- and
Effective SINR (dB)
CDF: cumulative distribution function only possible when both eNBs small-coverage area CCs has a
SINR: signal to interference plus noise ratio have data to send. Similarly, if one greater impact on performance, and
▲Figure 8. CDF of eSINR of fuzzy cells and baseline. of the eNBs empties its buffer for
the UE before the other eNB, then S1
Backhaul
reduction in overall throughput. the fuzzy cell gains are also lost. If 1 2

However, for cells that are realistically eNB1 finishes transmitting part 1 before Core Network
loaded, it is possible to improve both eNB finishes transmitting part 2, then at (MME/S-GW)
cell-edge and average throughput. that point, only eNB 2 can transmit to 2
X2
The CCs providing the largest-biased the eUE. 1 2
SINRs in each frequency are assigned The packet size for the traffic model eNB1 eNB2
to each UE. The bias applied to the in [2] is 2 MB. For a 2 MB packet and an
SINR involves optimizing one of the assumed X2 delay of 10-20 ms, the
system parameters so that the tenth delay is negligible and not modeled in MME: mobility management entity
S-GW: serving gateway
percentile user throughput is the simulations.
maximized for each average ▲Figure 9. Data- flow splitting at eNB.
throughput value. 2.7 Estimating Performance
Because of the complexity of 100
2.6 Impact of Backhaul Data Flow cellular systems, it is difficult to
Split on Performance predict gains without extensive 50
Fig. 9 shows the splitting of the simulation; however, some 30
downlink data flow in a fuzzy cell estimation is possible. For lightly 20
deployment. The split occurs in the loaded cells, UEs do not contend
CDF (%)

eNB. The application data packet is much for resources in any given 10
downloaded from the core network to transmission time interval (TTI).In
5
the serving eNB (eNB1) via S1 this case, the throughput : Baseline
: Fuzzy, 10 dB
interface. The eNB1 decides how to distribution can be largely 3
: Fuzzy, 100 dB
split received data for each UE, and the predicted by the eSINR 2
data payload is split in two. Part 1 is distribution, and the eSINR can
1
sent to the UE directly from the eNB1, be mapped to an achievable 0 10 20 30 40 50 60
Throughput (Mbit/s)
and part 2 is forwarded to the throughput. If we consider only
CDF: cumulative distribution function
cooperating eNB (eNB2) via X2 the long-term SINR in each CC
interface before being sent to the UE by frequency in the system, we can ▲Figure 10. eSINR based achievable throughput CDF
the eNB2. Status signals are frequently compute the achievable for a 10 dB power difference.

46 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P50
Enhanced Cell-Edge Performance with Transmit Power-Shaping and Multipoint, Multiflow Techniques Special Topic
Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang

12 results from simulation of multiflow data


delivery scheduling restricted to CCs
10th Percentile User Throuput in Active Reception (Mbit/s)

originating from the same site. This is


10 more compatible with LTE-A Release
◆ ◆ 10.

◆ Multisite fuzzy cells double
8
◆ edge-user throughput and improve
average user throughput by roughly
6 ◆◆◆◆◆

30% compared with FFR and baseline

◆◆
◆ : Fuzzy, Multi-Site schemes.
◆ : Fuzzy, Single-Site
◆ ◆
4 ◆ ◆ : FFR
◆◆◆◆ ◆ ◆ ◆

◆◆ ◆ : Baseline
3.2 Low-Volume Traffic

Here, a comparison of fuzzy, FFR,
2 and baseline schemes for low-volume,
5.00 10.00 15.00 20.00 25.00 bursty traffic is discussed. Low-volume
Average User Throughput Active Reception (Mbit/s) traffic implies an RU of about 10% in the
baseline case. Similar to the high
▲Figure 11. Comparison of algorithmic schemes for high traffic with shadowing. volume traffic case, low volume traffic
fuzzy results are shown in Fig. 12.
eSINR alone cannot be used for baseline case with PF scheduler, a Multisite fuzzy doubles improvement in
estimation. In other words, improving tradeoff between cell-edge and edge-user throughput and improves
the SINR in some CCs by increasing average throughput can be achieved average user throughput by roughly
transmit power must be weighed by varying the fairness exponent (β ) in 60% compared with FFR and baseline
against the increased load these CCs the scheduler. By sweeping β, we schemes.
will have because of their larger generate the parametric curve of
coverage areas. It is not clear what the cell-edge user throughput versus
optimum tradeoff is, and we must rely average throughput. There are several 4 Conclusions
on simulations to provide performance parameters that affcet the performance Fuzzy cell technology combines
estimates. Smaller power differences of FFR and fuzzy cell cases. To obtain multipoint, multifrequency transmission
are also preferable as the traffic load the tradeoff curves, optimizations were techniques, and intelligent parameter
increases. performed to find system parameters selection (e.g. transmission power
Even in a heavily loaded system, that that maximized the tenth percentile differences between carriers), and it is
is, 50% resource use, there are many throughput subject to different average built on top of carrier aggregation. It
TTIs where a UE has little competition throughput constraints. The other can be applied to any multicarrier
for resources in a given CC. parameters optimized were the cell system, including HSPA+ and LTE.
Consequently, there are some TTIs in biasing and the difference in power Fuzzy cells is a simple,
which the eSINR is a predictor of between the high tx power CC and the high-performance, robust scheme that
performance. two lower-power CCs on each sector mitigates the cell-edge problem and
antenna. Similar optimizations are done improves system performance.We have
for the parameters that describe the shown the cell edge versus average
3 Simulation Results FFR and SFR techniques. performances that can be achieved
Throughput based simulations were with standard frequency reuse 1, FFR,
carried out to compare the performance 3.1 High-Volume Traffic and fuzzy cells. Fuzzy cells roughly
of different types of fuzzy cell Here, a comparison of fuzzy, FFR, doubles cell-edge performance
deployments to the performance of the and baseline schemes for compared with frequency reuse 1 and
baseline case and FFR schemes. We high-volume, bursty traffic is FFR. Fuzzy cells also significantly
consider two main metrics of discussed. High-volume traffic means improve average performance.
importance: average UE perceived the traffic load corresponds to RU of In fuzzy cells, the data flow to each
throughput and the cell edge about 50% in the baseline scenario. UE in a CC is independent in terms of
UE-perceived throughput. Because Two types of fuzzy results are shown in radio resource scheduling and hybrid
there are two metrics of interest, it is Fig.11: multisite fuzzy and single-site automatic repeat request (HARQ).
instructive to present data as the fuzzy. The former are results from Therefore, allocations among different
possible tradeoff between them. There simulation of multiflow data delivery sites do not need to be tightly
is tradeoff between average scheduling on the best CC regardless coordinated. The need for stringent
UE-perceived throughput and the of the site at which the CC originated. latency is relaxed compared with CoMP
cell-edge UE-perceived throughput. This is the same fuzzy cell scenario inter-site coordination via the X2
For example, even in the simple previously described. The latter are interface. To support techniques such

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 47

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P51
Special Topic Enhanced Cell-Edge Performance with Transmit Power-Shaping and Multipoint, Multiflow Techniques
Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang

[3] G. Caire, S. Ramprashad, H. Papadopolous, C.


12 Pepin and C. Sundeberg,“Multiuser MIMO downlink
◆◆
10th Percentile User Throuput in Active Reception (Mbit/s)

: Fuzzy, Multi-Site ◆◆ with limited inter-cell cooperation: Approximate



: Fuzzy, Single-Site interference alignment in time, frequency and space,”

10 : FFR 46th Annu. Allerton Conf. Commun., Control, and
◆ Comput., Illinois, Sept. 2008, pp 730-737.
◆ : Baseline

▼Table 1. System simulation parameters


8
◆ ◆◆

◆◆◆ Carrier Frequency 2 GHz
6 ◆ Bandwidth per CC 3 MHz
6 or 3 ( 6 CCs are used for
◆ comparison with FFR techniques

◆◆◆
◆◆◆◆
◆ Number of CC frequencies and to allow the system
4 bandwidth to be split into reuse
1 and reuse 3)
Number of Antennas per Link 1 Tx, 1 Rx
2 Average CC Transmit Power 41 dBm
5.00 10.00 15.00 20.00 25.00
Number of RBs per CC 15
Average User Throughput Active Reception (Mbit/s) Number of Sector
Antennas per Site 3

▲Figure 12. Comparison of algorithmic schemes for low traffic with shadowing. Inter-Site Distance (ISD) 500 m
Total Number of Sites 19
as CoMP, investment needs to be made macro cell no line-of- sight. UEs are Number of UEs per Site 30 (10 per Sector Antenna)
in low-latency, high-capacity dropped randomly with uniform UE Distribution Uniform Distribution
Random Drop
backhaul, for example, fiber optics. distribution. The simulation parameters Channel Model -
However, fuzzy cells can be realized are summarized in Table 1. Two site PL = 161.04 - 7.1 log10 (W ) +
with existing backhaul. Fuzzy cells can rings are populated with users, and 7.5 log10 (h ) - [24.37- 3.7(h/hBS )2]
log10 (hBS ) + [43.42 -
be realized before other advanced statistics are collected only from UEs Path Loss 3.1 log10 (hBS )] [log10 (d )-3] +
20 log10(fc ) -
techniques and without investment in near the center site. {3.2 [(log10 (11.75 hUT ) ] 2 - 4.97}
new low-latency backhaul. Street Width (W) 20 m
References
Appendix [1] Further advancements for E-UTRA physical layer Avg. Building Height (h) 20
aspects,3GPP TR 36.814, 2010.
A system-level simulator using [2] R. Chang, Z. Tao, J. Zhang, C-C Jay Kuo,“A graph
hBS 25

hexagonal cellular layout is used to approach to dynamic fractional frequency reuse hUT 1.5 m
(FFR) in multi-cell OFDMA networks,”Proc. IEEE
validate our concept. The simulation International Conf. Commun., Dresden, Jun. 2009,
Shadow Fading -

parameters are based on LTE urban pp. 1-6.


Shadowing Standard
Standard Deviation (σ) 6 dB

Biographies Correlation Dist (dcor) 50 m


Multipath Channel EVA 30 kph
Phil Pietraski (philip.pietraski@interdigital.com) received his BSEET degree from De Vry University in 1987. He
received his BSEE, MSEE, and Ph.D. degrees from Polytechnic University, Brooklyn, NY in 1994, 1995, and 2000. UE thermal Noise Density -174 dBm / Hz
He also has a graduate certificate in wireless communications from Polytechnic University and studied accelerator Noise Figure 7 dB
physics at the U.S. Particle Accelerator School (hosted by universities including Harvard and Duke). He joined
InterDigital Communications in 2001 and is currently a principal engineer who leads research in wireless TTI Length 1 ms
communications. He holds more than 30 patents in the field. Prior to his transition to communications in 2000, he Sector Antenna Parameters -
was a research engineer at Brookhaven National Laboratory, National Synchrotron Light Source, responsible for
Antenna Pattern A(θ)=-min[ 12(θ/θ3dB)2,A m ]
developing spectroscopic and imaging, solid-state and gaseous, X-ray detectors. He has also conducted
research at the Polytechnic University for the Office of Naval Research (ONR) in underwater source localization Peak Antenna Gain 14 dBi
using passive SONAR. 3 dB Beamwidth (θ3dB) 3 dB @ ± 70 Degree

Gregg Charlton obtained his BSEE from Carnegie-Mellon University in 1982 and his MSEE in EE systems from Front/Back Ratio (Am) 20 dB
the University of Michigan, Ann Arbor in 1986. While at Michigan, he received a research fellowship and worked as Proportional Fair. Common Pool
a research assistant in the EECS department. Mr. Charlton has been with InterDigital since 2000 and is currently a Scheduler Buffer. Perfect CSI Information.
member of technical staff in the advanced air interfaces organization. Prior to working at InterDigital, he worked for 1 RB Granularity.
Lockheed Martin Global Telecommunications, General Electric Aerospace, AT&T Bell Laboratories, and TRW. His Beta Optimized in Simulation
research interests include analysis of mobile network deployments, wireless communication theory, and satellite
communications. Link Performance Model EESM
AWGN with Power Based on
Rui Yang received his M.S. and Ph.D. degrees in electrical engineering from the University of Maryland in 1987 Interference Model PL and per CC Tx Power
and 1992. He joined InterDigital Communications in 2000 and has 11 years experience researching and Setting
developing wireless communication systems. His interests include digital signal processing and physical layer X2 Latency 0 ms
design for wireless devices. He has more than 10 patents has publiushed several papers. He is currently an
Traffic Model 3GPP FTP Model 1
engineering manager at InterDigital Communications.
Packet Size (S) 1 MB
Carl Wang obtained his BSEE from Polytechnic University, Brooklyn, NY, in 1994. He received his MSE in 0.00063 (high load) or
computer engineering from the Carnegie-Mellon University in 2006. Mr. Wang has been with InterDigital since 0.00013 (low load) Packets/
1996 and is currently a member of technical staff in the advanced air interfaces organization. Prior to working at User Arrival Rate (λ) TTI/UE Corresponds to
InterDigital, he worked for Raytheon Company in digital signal data processing. His research interests include Approximately 50% and 10%
analysis of mobile network deployments, wireless communication theory, and communication protocol and Resource Utilization
architecture. Simulation Duration 50 s/drop

48 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P52
Spatial Load Balancing in Wide-Area Wireless Networks Special Topic
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar

Spatial Load Balancing in


Wide-Area Wireless Networks
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar
(Qualcomm Inc., San Diego, CA 92121, U.S.A)

Abstract: Load balancing is typically used in the frequency domain of cellular wireless networks to balance paging, access, and traffic
load across the available bandwidth. In this paper, we extend load balancing into the spatial domain, and we develop two
approaches—network load balancing and single-carrier multilink—for spatial load balancing. Although these techniques are mostly
applied to cellular wireless networks and Wi-Fi networks, we show how they can be applied to EV-DO, a 3G cellular data network. When a
device has more than one candidate server, these techniques can be used to determine the quality of the channel between a server and
the device and to determine the load on each server. The proposed techniques leverage the advantages of existing EV-DO network
architecture and are fully backward compatible. Network operators can substantially increase network capacity and improve user
experience by using these techniques. Combining load balancing in the frequency and spatial domains improves connectivity within a
network and allows resources to be optimally allocated according to the p-fair criterion. Combined load balancing further improves
performance.

Keywords: CDMA 2000; EV-DO; DO-RevC; high rate packet data (HRPD); network load balancing (NLB); single-carrier multilink (SCML)

loading (per-sector forward link (FL) are lightly loaded. Operators add
1 Introduction slot use) during peak hour in a hardware by splitting cells near the

D
emand for data in wireless metropolitan commercial EV-DO chokepoint sectors or increase
networks is spatially network where sectors with low slot use bandwidth by adding carriers to the
non-uniform, which leads to
chokepoint sectors, and it is also 0.40 1
time-varying, which means that
chokepoint sector locations change. 0.9
0.35
Chokepoint sectors operate at or close
to the maximum load; therefore, they 0.8
0.30
determine network performance, user
0.7
perception of network performance
0.25
and, ultimately, user experience. In a 0.6
Probability < X

network with fixed assets and


Frequency

unbalanced loading, spatial load 0.20 0.5


balancing uses network resources from
0.4
neighbors of chokepoint sectors to 0.15
serve users in the chokepoint sector. 0.3
This increases the capacity of the 0.10
network. 0.2
Field data collected from 0.05
0.1
commercially deployed networks shows
that only a small fraction of sectors are 0 0
chokepoints at any given time and that -20 0 5 10 20 30 40 50 60 70 80 90 100 120
chokepoint sectors typically have FL Slot Utilization (%)
several lightly loaded neighbor sectors.
Fig. 1 shows the distribution of sector ▲Figure 1. Per-sector FL slot use during peak hour (mean FL use is 26%).

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 49

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P53
Special Topic Spatial Load Balancing in Wide-Area Wireless Networks
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar

chokepoint sectors. Such actions lead server with the best channel quality. queues at the sector carrier. It is
to networks that are designed for (Here, hysteresis based on channel calculated for each slot (which takes
worst-case scenarios and are therefore quality and time is not discussed for the 1.66 ms) and is fed to a filter with a
underused most of the time. sake of simplicity.) In EV-DO, the AT time-constant of a few seconds to
The spatial load-balancing selects the best forward layer (FL) generate the Neff metric.
techniques discussed in this paper are server from the candidate servers that Networks are at times
network load balancing (NLB) and have a corresponding reverse link (RL) backhaul-limited, and this leads to the
single-carrier multilink (SCML). of acceptable quality. If the DRCLock air link at the BTS being underused. A
In NLB, a device is opportunistically bit on, the RL quality is acceptable; if backhaul-limited sector-carrier may
reassigned from a heavily loaded the DRCLock bit is off, the RL quality is not appear to be loaded because of
sector to a lightly loaded sector, even if unacceptable. The DRCLock bit itself is queue under-run. Therefore, backhaul
the channel quality of the heavily set according to the quality of the RL limit must be accounted for when
loaded sector is better. The end result overhead channels received at the calculating loading level at each
is increased capacity for the chokepoint base station. sector-carrier. A metric for backhaul
sector from offloading the most limit is the number of unfilled flow
expensive users (poor channel quality 2.2 Multicarrier Operation control requests for a sector-carrier
means that the network has to allocate When an AT in EV-DO is assigned that has non-empty AT queues at the
more resources for a given amount of multiple carriers, those carriers may BSC.
traffic) to the neighbor sector. originate from the same sector or
With SCML, any device that can different sectors (one forward-link 2.4 Operator Deployments
simultaneously process two or more serving sector for each carrier) [1], [2]. Operators deploy wireless data
independent data streams can achieve Commercial EV-DO uses independent networks according to data demand.
the benefits of a multicarrier network, schedulers on each sector carrier, and Hence, operator deployments are not
even though the device may be in the multicarrier EV-DO uses multilink radio uniform and have spatial variations in
hand-off region of a single-carrier link protocol (RLP) on each sector the number of carriers deployed.
deployment. carrier. Multilink RLP enables the Typically, a large area in an operator
With multicarrier EV-DO, the EV-DO network to split a data stream at the network has three or less deployed
carriers that serve a mobile device use transmitter across multiple independent carriers. In regions of the network with
different carrier frequencies and may schedulers and to combine the data just one carrier, multicarrier EV-DO
originate from the same cell or different stream at the receiver while ensuring cannot improve performance of ATs
cells. With SCML, the same carrier early detection of packet loss and with multicarrier capability.
frequency serves the mobile device in-order delivery of RLP packets [3].
with independent data streams and When multiple links (or carriers) are 2.5 Smart Carrier Management
from different sectors. NLB improves assigned to an AT, the network EV-DO access networks (ANs)
performance when the chokepoint distributes forward-link data across assign the best-available carrier to an
sector is loaded, and SCML improves available links. Data throughput on AT by transporting the load levels
performance at all load levels. In this each link can be different, and the across carriers (on the forward and
paper, we describe how these throughput varies over time. Enhanced reverse links) and the channel quality
techniques augment load-balancing in flow control (EFC) ensures FL data is from the ATs to each of the candidate
the frequency domain. distributed across links according to carriers.
In Section 2, we provide necessary link throughput and that the data
background information. In sections 3 distribution adapts to changes in link
and 4, we discuss the concepts, throughputs. 3 Network Load Balancing
algorithms, and implementations of Each forward link in EV-DO requires NLB is shown in Fig. 2. PN (b) is the
NLB and SCML. In section 5, we reverse-link feedback to indicate FL best FL sector for the user; however,
perform simulations to determine the channel quality and acknowledgements PN (b) is heavily loaded and the
performance of NLB and SCML. In (ACK/NACK) for physical-layer HARQ. neighboring sector, PN (a), is lightly
section 6, we make concluding remarks EV-DO supports multiple feedback loaded. If PN (b) is selected as the
and discuss future work. multiplexing modes. RL overhead of serving sector, it has better
multiple FL carriers can be transmitted signal-to-interference plus noise ratio
over a single RL carrier to minimize the (SINR) than PN (a) but is scheduled
2 Background RL pilot overhead. much less time than in PN (a) because
of heavy loading. Thus, even at the cost
2.1 Server Selection 2.3 FL Load Metrics of FL SINR degradation, the AT’ s
When the access terminal (AT) in a We introduce a new metric for the throughput can increase when the FL
wireless network has a choice of loading at each sector. This metric is loading differential is sufficient to offset
serving sectors, it typically selects the the average number of non-empty the SINR degradation.

50 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P54
Spatial Load Balancing in Wide-Area Wireless Networks Special Topic
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar

carrier. Each FL server creates a link


Single-Carrier Switch Betwwen
between the AN and AT. SCML allows
Device (PN(a), f1) and (PN(b), f1) multiple links on a single carrier, and
153 k 307 k
that is why it is called single-carrier
multilink. An AT’ s active set are those
sectors that control the power of the AT
Load=80%
f1 Load=20%
Chokepoint Sector on the RL and can serve the AT on the
◀Figure 2. FL. SCML enhances FL data rate when
PN(a) PN(b) PN(c)
Network load balancing. all sectors in the AT’ s active set are
lightly loaded, and it balances the load
An important consideration in NLB is sectors by setting the DRCLock bit (RL when some chokepoint sectors are in
its interaction with the partial loading of quality indicator) that corresponds to the AT’ s active set. For an AT that can
neighboring sectors. The SINR of the the chokepoint sector to off (indicating receive FL data from n links
traffic channel in the chokepoint sector poor RL quality). simultaneously, SCML allows the AN to
is higher than the SINR of the pilot assign additional links and enhance FL
channel (only applicable to EV-DO) 3.2 Network Load Balancing for throughput when the number of carriers
because of lesser interference from the New ATs assigned to AT is < n and the AT has
lightly loaded neighboring sector. If the The AN assists NLB for new ATs. multiple sectors in the active set. SCML
AT is served by the lightly loaded Each sector-carrier periodically leverages the advantages of EV-DO,
neighbor, then the chokepoint sector provides its Neff to the BSC. The BSC and in the following, we explain some
with high neighbor loading gives strong propagates this to all members in the changes to existing EV-DO.
interference. If a network has a large neighbor list of each sector-carrier.
number of ATs with the ability to Each sector-carrier periodically 4.1 Reverse Link Feedback Multiplexing
spatially null the strong interferer, the broadcasts its load level — as well as For each additional link assigned
network can gain more from NLB. In the load level for all sector-carriers in using SCML, an additional set of RL
addition, offloading users to lightly its neighbor list — on the synchronous feedback channels is required. This is
loaded neighboring sectors drives control channel. The server selection sent over a single RL carrier using
those sectors towards higher slot use, algorithm at the access terminal then reverse link feedback multiplexing. The
and these sectors then cause more uses not only FL channel quality and RL multiplexing is supported by methods
interference to the chokepoint sector. channel quality (DRCLock bit) but also such as basic feedback multiplexing
This effect limits the loading differential the FL load level from the candidate (BFM) and enhanced feedback
across sectors where NLB is beneficial. serving sectors on each carrier. The multiplexing (EFM).
The NLB algorithms also specify server selection metric is modified to
minimum FL channel quality to ensure use the FL channel quality and the FL 4.2 Distributed Network Scheduling
the AT does not choose an extremely load level, and the constraint whereby The network can more flexibly
weak FL server, regardless of the load the AT selects a serving sector from schedule FL data when an AT is served
difference between neighboring sectors with acceptable RL quality is on multiple links. Distributed network
sectors. This allows the AT to continue maintained. scheduling (DNS) improves network
to receive the FL control channels with efficiency by biasing FL data delivery
the desired performance. There are two towards a better link [4]. When FL slot
types of NLB algorithm: one for existing 4 Single-Carrier Multilink use is 100%, DNS fairly schedules
ATs deployed by operators and another EV-DO ATs are multicarrier enabled, across all ATs regardless of the number
for new ATs. which means they can receive data on of links assigned. When FL slot use is
multiple links regardless of whether less than 100%, DNS allows SCML ATs
3.1 Network Load Balancing for Legacy these links are from the same sectors to achieve some trunking gain by using
ATs on the same carrier. This capability can free slots on each link, which results in
Implementation of the NLB algorithm be harnessed with SCML to allow a higher FL data rate for SCML ATs.
for legacy ATs does not require the AT multicarrier-enabled ATs to have
to have any additional capability. Each improved performance even in regions 4.3 Receiving Diversity and Interference
sector-carrier periodically provides its of the network with less than three Nulling
load information (Neff) to the BSC. The carriers. Because SCML is beneficial An SCML AT may be simultaneously
BSC also receives FL pilot strength only in handoff regions (typically served from multiple links on same
information from ATs via a characterized by lower SINR and carrier, resulting in self interference.
RouteUpdateRequest in EV-DO every less-than-ideal performance), it The AT is simultaneously served from
2 to 4 seconds. With this information, delivers gains where they are needed two sectors that interfere with each
the BSC can select suitable legacy ATs most. With SCML, the network can other. Even if no other AT is present in
and induce them to change serving serve the AT using multiple servers on a the system, each link assigned to the

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 51

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P55
Special Topic Spatial Load Balancing in Wide-Area Wireless Networks
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar

50 channel quality and FL load. versus rate curve for the scenario is
● : 1 Rx ● This is similar to the NLB then formed from all such rate-delay
● : 2 Rx algorithm for new ATs. The pairs. Both single and dual-antenna
40 AN has multiple paths that it devices are simulated. Single and
can use to serve FL data, multicarrier devices are also simulated.

and DNS allows network
NLB Gain (%)

30
● throughput to be optimized. 5.1 Load-Balancing Gain for
Single-Carrier Devices
20 ●
Fig. 4 shows the tail page delay
● 5 Performance versus rate curves for single-carrier

10 Simulations reveal the devices with one or two antennas. Load
performance gained using balancing provides significant gain only
0 NLB and SCML. The when the load level is sufficiently large,
1 2 3 4 5 6 7 8 performance results are valid that is, when the solid red and blue
Demand Ratio
for a seven-cell layout with a curves (which represent the baseline
NLB: network load balancing
center-cell demand model; and new NLB cases for two-antenna
▲Figure 3. NLB gain as a function of demand ratio. that is, the center cell has devices, respectively) fall on top of
multiple times the number of each other at low rates. Because of the
SCML AT receives interference from users of neighboring cells. The ratio of low load differential at low load levels,
another link(s). To achieve gains from the number of users in the center cell to no user is offloaded to another sector
multiple links, the AT must overcome users in the neighboring cell is the and there is no load balancing gain.
the interference. Some EV-DO demand ratio. Except for Fig. 3, which Also in Fig. 4, the load-balancing gains
receiving diversity implementations can shows load-balancing gain as a for single-antenna devices (new or
spatially null a strong interferer, and this function of demand ratio, all other legacy) are not as large as those for
is the key to SCML. The network should figures are valid for a demand ratio of dual-antenna devices. Once a user is
limit SCML assignment to receiving three. Users have an http traffic model; offloaded from a heavily loaded sector
diversity ATs with spatial nulling that is, they repeat downloading a to a lightly loaded one, the heavily
capabilities. ATs with more Rx antennas sample http webpage according to a loaded sector appears as a strong
are typically capable of superior spatial random arrival process with a fixed interferer. This occurs because the user
nulling of strong interferers, and rate. To determine performance in a receives a stronger signal from the
therefore, SCML performance improves particular scenario, for example, heavily loaded sector than from the
with an increase in number of AT Rx dual-antenna or dual-carrier devices lightly loaded one. Dual-antenna
antennas. with load balancing enabled, devices can spatially null some of the
simulations are performed for a range interference. Single-antenna devices
4.4 Smart Carrier Management of download rates. Each simulation is lack such capability and thus achieve
The smart carrier management then characterized in terms of lower load balancing gains. Multiple
algorithm can be modified to take the download rate (the average number of receiving antennas and special null of a
SCML capability of a device into webpages downloaded
account. The network allocates and per minute per Tail of Page Delay
removes SCML based on signal high-demand ●

conditions, and this ensures that sector-carrier) and the tail ●




additional links can provide reasonable of the page delay. The tail

throughput. Links across carriers are of the page delay is




preferred because they are orthogonal defined as follows: The ●
● ●

and provide trunking (multiplexing) delay for each
Seconds


gain. If the AT can support more links downloaded http page is ●
10 1
than assigned carriers, AN uses SCML the time between when the ● ● : 2 R ,Baseline x

to assign additional links on a carrier first byte of the page is ●


● ● : 2 R ,New NLB x

: 2 R ,Legacy NLB
according to available FL control queued in the BSC to the ●

● x

● : 1 R ,Baseline
resources and RL load on that carrier.
x

time the last byte is ●
● ● : 1 R ,New NLB x

The additional links provide trunking downloaded by the user. ● : 1 R ,Legacy NLB x

gain in the spatial dimension. Once the Then, the page delay CDF
AT server selection algorithm has been (across all users and all
5 10 15 20 25
Web-Page (minute/sector-carrier)
assigned multiple links by the webpages) is formed, and NLB: network load balancing
smart-carrier management algorithm, the ninety percentile delay
the AT server-selection algorithm is chosen as the tail page ▲Figure 4. NLB gain for single-carrier devices with one and
chooses the best link(s) by using the FL delay. The tail page delay two antennas (600 kB per web page).

52 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P56
Spatial Load Balancing in Wide-Area Wireless Networks Special Topic
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar

The horizontal distance levels, load balancing provides the


Tail of Page Delay
between the magenta and same gain (26%) as adding the second
red curves in Fig. 5 carrier. Thus, combining multicarrier

● represents the trunking deployments with per-carrier load
● gain of dual-antenna balancing provides substantial gain
● devices when a second over a wide range of load levels.
10 carrier is added. Trunking
1


Seconds

● ● ● gain is also a function of 5.3 SCML Performance



load level. As the load Fig. 8 shows FL burst rate as a
● ● level increases, the single-carrier user moves between two

● ●

● : 2R ,2Carr, Baseline
x trunking gain decreases. neighboring cells. With no SCML, a
● : 2R ,2Carr, NLB
x The magenta and red user’ s burst rate reduces as the AT
: 2R ,1Carr, Baseline

● ● x
curves in Fig. 5 merge as moves away from the center of the cell
: 2R ,1Carr, NLB
● ● x
rate is sufficiently and approaches the cell edge. The
0 5 10 15 20 25 30 increased. Both baseline burst rate starts increasing again as the
Web-Pages/Minute/Sector-Carrier and NLB cases achieve AT approaches the center of the
NLB: network load balancing
trunking gain when a neighboring cell. With SCML, a user’ s
second carrier is added; burst rate is significantly improved near
▲Figure 5. Load-balancing gain devices with two antennas the trunking gain the cell edge because the user is
(600 kB per web-page). achieved in the latter case served by two sectors at the cell edge.
is more substantial Thus SCML can provide ATs with a
strong interferer becomes even more because some users hand off to lightly more uniform UE as the user moves
important for SCML because users loaded neighbors. within the network.
usually suffer self-interference. In these cases, there is a dual benefit Fig. 9 shows the tail page delay
Consequently, NLB and SCML are from NLB—spatial load-balancing versus rate curves for dual-antenna
recommended only for dual-antenna combined with load-balancing in the single-carrier devices. The cluster
devices. frequency domain—and this magnifies consists of seven sectors, and the
Fig. 4 also shows that although the the gains from spatial load balancing. center sector has three times the
load-balancing gain for legacy devices NLB combined with multicarrier number of users of its neighboring
is not as large as the gain for new deployments increases network sectors. Load balancing provides
devices, they are comparable. The gain capacity when the network is loaded substantial gains only when load level is
is reduced because the BSC, which and also substantially improves UE.
induces load balancing for legacy This means faster web-page
terminals, does not have accurate downloads when the network is not as 1.05X 2.02X 2.54X
information about user SINR. loaded.
Fig. 3 shows that the load-balancing Fig. 6 and Fig. 7 show the NLB NLB NLB
gain increases with the demand ratio, relationship between load balancing
that is, the ratio of users in the center and trunking gains for two-antenna X 1.64X 1.84X
cell to users in the neighbor cell. This is devices at low (7 second tail page 1-Carr 2-Carr 3-Carr
a result of more users being offloaded delay) and high (13 second tail page
NLB: network load balancing
from the heavily loaded center cell to delay) load levels. Specifically, X in Fig.
the lightly loaded neighboring cells as 6 denotes the network capacity for a ▲Figure 6. NLB gains for dual-antenna
the demand differential increases. Load single-carrier deployment with multicarrier devices at a low load level
balancing provides substantial gains dual-antenna devices were the tail (7 second tail page delay).
over a wide range of demand ratios. page delay does not exceed 7
seconds. The coefficients in Fig. 6
5.2 Load-Balancing Gain for Multicarrier represent the per-carrier network 1.26Y 1.65Y 1.90Y
Devices capacity gain when load balancing is
Fig. 5 shows the tail page delay enabled or additional carriers are NLB NLB NLB
versus rate curves for single and added. At low load levels, per-carrier
multicarrier devices (the maximum trunking gain (63%) created by adding Y 1.26Y 1.33Y
number of assigned carriers is two). a second carrier is much larger than the 1-Carr 2-Carr 3-Carr
The single and multicarrier devices gain from load balancing (5%). NLB NLB: network load balancing
have two antennas. Load-balancing provides significant gain when sectors
gain improves when a second carrier is are sufficiently loaded; trunking gain is ▲Figure 7. NLB gains for dual-antenna
added. As carriers are added, users greater when load level is smaller. In multicarrier devices at a high load-level
experience trunking (multiplexing) gain. contrast, Fig. 7 shows that at high load (13 seconds tail page delay).

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 53

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P57
Special Topic Spatial Load Balancing in Wide-Area Wireless Networks
Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar

[2] R. Attar, D. Ghosh, C. Lott, Mingxi Fan, P. Black, R.


Throughput Rezalifar and P. Agashe,“Evolution of CDMA2000
2.5 cellular networks: multicarrier EV-DO,”IEEE
Commun. Mag., vol. 44, Issue 3, pp. 46-53, Mar.
2006.

[3] CDMA 2000 High Rate Packet Data Supplemental
● Services, 3GPP2 C.S0063-B version 1.0, May 2010.
2.0 ● ●
● [4] R. Gowaikar, C Lott, A. Jafarian, D. Ghosh, K.
Throughput Mbit/s


● ● ● Azarian and R. Attar,“Distributed Scheduling for
● ● Wireless Networks,”in Proc. IEEE ISIT, 2011.
● ● ●
1.5
● ●
Biographies

1.0 Kambiz Azarian (kambiza@qualcomm.com)
● : Baseline received his Ph.D. in electrical engineering from
● : SCML Ohio State University. He joined Qualcomm in 2007
and has since been working on 3G and 4G cellular
systems.
0.5 ◀Figure 8.
0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9
Burst rate for a Ravindra Patwardhan joined Qualcomm in 1998.
Distance from Center Cell (km) single-carrier user moving He has worked on ISDN, Globalstar, Telematics,
1xRTT, 1xEV-DO, and UMB.
SCML: single-carrier multilink between two neighboring
cells. Christopher Lott received his Ph.D. in control
theory from the University of Michigan. He has
show that load balancing in worked on 1xEV-DO systems design for more than
10 years and is currently the DO systems lead at
Tail of Page Delay the spatial dimension and Qualcomm. He has designed aspects of
frequency dimension can communications systems, including LTE, GPS, and
● : Baseline Inmarsat.
● : NLB ●
be combined to amplify

● : SCML gains in networks where Donna Ghosh received her M.S. and Ph.D.
10 1

● capacity is constrained. degrees from Pennsylvania State University. She


joined Qualcomm in 2003 and has been working on
The proposed methods are 1xEV-DO system design and standardization with
fully backward compatible
Seconds

● an emphasis on MAC design. Her research


and leverage the interests include resource allocation, distributed
● algorithms, and wireless systems.
● advantages of EV-DO
networks thus increasing Radhika Gowaikar received her M.S. and Ph.D.
degrees in electrical engineering from California

● the return on investment for Institute of Technology. She joined Qualcomm in
these networks. NLB, in 2006 and is interested in distributed algorithms for
● resource allocation in wireless networks. She has
particular, is applicable to
worked on these for the 1xEV-DO system.
20 40 60 80 100 120 140 legacy devices. These
Web-Pages/Minute/Sector-Carrier techniques improve user Rashid Attar received his B.E. in electronics from
Bombay University in 1994 and his MSEE from
NLB: network load balancing SCML: single-carrier multilink
experience when the Syracuse University in 1996. He is a senior director
sector is not operating at of engineering in Corporate Research and
load. Development (CR&D), Qualcomm. He joined
▲Figure 9. SCML gain for dual-antenna single-carrier Qualcomm in 1996 and was first engaged in the
devices (300 kB per webpage). Further improvements to integration of IS-95 systems. Since 1998, he has
NLB and SCML can be worked on the system design, prototype,
development, standardization, and
high, whereas SCML provides made with higher-order receiving commercialization of 1xEV-DO (Rev0, RevA, RevB,
substantial gains at all load levels. At diversity at the device. This enables and DO-Adv) and 1x-Adv. He is currently co-lead
low load levels, SCML exploits spatial further improvements in spatial nulling, of the CR&D DO-Advanced effort and acting lead
of the Qualcomm CDMA Technologies (QCT)
trunking across sectors, whereas at which allows the device to be CDMA2000 modem systems team.
high load levels, SCML asymptotes to simultaneously served by even more
NLB where the AT is effectively served sectors on the same carrier.
by one sector only. Single-carrier Similar techniques, such as
multilink is therefore a form of single-frequency dual cell (SFDC), are
soft-network load balancing. being developed for UMTS networks
and are applicable to LTE networks as
well. NLB and SCML are both
AD Index
6 Conclusions applicable to local-area wireless
This paper gives an overview of load networks. A1 and Back Cover:
balancing in the spatial dimension. NLB
and SCML are introduced, and related References ZTE Corporation
[1] CDMA2000 High Rate Packet Data Air Interface
algorithms, implementation, and Specification, 3GPP2 C.S0024-C version 1.0, April
performance are presented. We also 2010.

54 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P58
Uplink Power Control for MIMO-OFDMA Cellular Systems Special Topic
Rongzhen Yang and Hujun Yin

Uplink Power Control for


MIMO-OFDMA Cellular Systems
Rongzhen Yang 1 and Hujun Yin 2
(1. Intel China Corp., Shanghai 200241, P.R. China;
2. Intel Corp., 2200 Mission College Blvd, CA 95054-1549, U.S.A.)

Abstract: In this paper, we propose a novel uplink power control algorithm, SMST, for multiple-input multiple-output orthogonal
frequency-division multiple access (MIMO-OFDMA).We perform an extensive system-level simulation to compare different uplink
power control algorithms, including the FPC adopted in 3GPP LTE and LTE-Advanced. Simulations show that SMST adopted in IEEE
802.16m outperforms other algorithms in terms of spectral efficiency, cell-edge performance, interference control, and trade-off control
between sector-accumulated throughput and cell-edge user throughput. The SMST performance gain over FPC can be more than 40%.

Keywords: uplink power control; inter-cell interference; OFDMA; MIMO

mechanism for controlling intercell mechanism can be closed-loop power


1 Introduction interference and improving cell-edge control (CLPC), open-loop power

U
plink power control is a critical user experience (UE), even in control (OLPC), or CLPC-OLPC
feature of CDMA cellular broadband wireless systems based on combined power control. In CLPC,
systems. It is used to alleviate OFDMA[4]-[7]. As opposed to 3G, power control is centralized at the base
the near-far problem caused by where closed-loop power control is station (BS). The mobile station (MS)
intracell interference. The new typically used to control both intracell provides feedback on link quality, and
generation of broadband wireless and intercell interference, the main the BS calculates the uplink transmit
technologies, including WiMAX and objective of uplink power control in power level for the MS and instructs the
LTE, are designed to provide higher OFDMA-based systems is to control MS to transmit at that level. In OLPC,
bandwidth, higher peak throughput, intercell interference [8],[9]. the MS measures link quality and
and higher spectral efficiency [1],[2]. In this paper, we investigate control calculates the uplink transmit power
These wireless technologies are based of uplink transmit power in order to level (based on predetermined
on orthogonal frequency-division maximize sector and cell-edge equations) in a distributed manner. The
multiple access (OFDMA), in which all spectral efficiency. These are essential BS may influence the MS by adjusting
the uplink transmissions are orthogonal parameters for next-generation certain parameters in the equation, but
within one cell [3]. Therefore, intracell broadband wireless systems [10]-[13]. the BS does not directly control the MS
interference is minimal compared with A simplified maximum-sector transmit power.
technologies based on 3G CDMA. throughput (SMST) algorithm is
Fourth-generation WiMAX and LTE proposed that maximizes sector 2.1.1 CLPC
wireless standards are designed to throughput by adjusting uplink transmit In a CDMA system, CLPC allows
support up to 20 MHz channel power. commands to be sent from the BS so
bandwidth, high-order 4 × 2 and 4 × 4 that power can be quickly adjusted. In
multiple-input multiple-output (MIMO), an OFDMA system, intracell
and aggressive frequency reuse
2 Uplink Power Control interference is not significant, so
(reuse 1) to improve spectral efficiency Mechanisms adaptive modulation and coding (AMC)
and user throughput. Especially for and hybrid automatic repeat request
cell-edge users, intercell interference 2.1 Background (HARQ) are used to provide fast link
is a significant problem caused by Power control has been studied adaptation for the data channel. CLPC
aggressive frequency reuse. Uplink extensively since the introduction of is mainly used for fixed-rate control
power control is an important cellular systems. A power-control channel when channel fading exceeds

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 55

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P59
Special Topic Uplink Power Control for MIMO-OFDMA Cellular Systems
Rongzhen Yang and Hujun Yin

power (linear) of one data subcarrier at


a given time;
Home Cell •i: cell index. i = 0 is the home BS,
Channel Loss: CL0 and i = 1-N is the neighboring BSs;
•CLi : The instantaneous channel
loss (linear) from MS transmitter to one
Channel Loss: CL1 Channel Loss: CL2 receiving antenna of a BS;
Neighbor Cell 1
Neighbor Cell 2
•NIi : The noise-plus-interference
level (linear) per subcarrier at a BS
receiving antenna.

3.2 Maximum Throughput Criteria


The aggregated cell throughput is
▲Figure 1. Uplink interference for one MS in a typical MIMO-OFDMA cellular system. R Aggregated = ∑R i (1)
i

the power margin. In CLPC in the BS, control (FPC) in LTE is an SNR-based If we assume that all BSs occupy the
the received signal quality is monitored algorithm. same bandwidth, (1) can be modified:
and power-adjustment commands are
sent. 2.2.2 SINR-Based Algorithm R Aggregated =∑BW ×SEi =BW ×∑SEi
i i

The goal of an SINR-based algorithm SE Aggregated =∑SEi (2)
2.1.2 OLPC is to maintain the desired received i

OLPC is mainly implemented in the SINR level in the BS while taking into For uplink power control of each MS,
MS. The MS measures downlink signal account the uplink interference power aggregated spectrum efficiency SE is
status, compensates the uplink path level. SMST used in IEEE 802.16m is an prioritized as the target of the algorithm,
loss, and controls interference to SINR-based algorithm that defines the which can be expressed as
neighboring BSs. With OLPC, the MS desired received SINR goal for each
needs some static/semi-static MS and takes into account the Ptx =argmax∑SEi (3)
Ptx i
configuration parameters to be measured downlink
signaled by the BS and does not signal-to-interference ratio (SIR), To solve (3) for each MS, the
require short-term inputs. This saves uplink MIMO mode, and BS antenna following process is used:
overall signaling overhead. configuration. 1) PS is the transmission power of one
subcarrier that is initialized to 0
2.1.3 CLPC-OLPC Combined Power 2.2.3 IoT-Based algorithm 2) A power increase, ΔPS , is assumed
Control The goal of an IoT-based algorithm in order to calculate
In current 4G wireless standards, is to maintain the desired uplink i) SE gain : as the power increases, this
both CLPC and OLPC are combined to interference level in the BS. In general, is the spectrum efficiency gain that the
balance flexibility with signaling an IoT-based algorithm can stabilize MS can achieve in the home BS on the
overhead. OLPC is mostly used to save the interference level in the uplink to subcarrier.
signaling overhead. help control interference and ii) SE loss : as the power increases, this
modulation-coding-scheme (MCS) is the spectrum efficiency loss the MS
2.2 Uplink Power Control Algorithms level estimation. inflicts on the neighboring BSs on the
Depending on the goal of the power subcarrier.
control mechanism, the uplink 3) SE gain and SE loss are compared
power-control algorithm can be based
3 Maximizing Throughput i) If SE gain > SE loss, PS = PS + ΔPS , go
on signal-to-noise ratio (SNR), with Power Control back to 2
signal-to-interference plus noise ratio ii) If SE gain ≤ SE loss, then the PS is
(SINR), or Internet of things (IoT). 3.1 System Modeling optimum on the subcarrier for the MS.
Fig. 1 shows an uplink interference This process is used to evaluate the
2.2.1 SNR-Based Algorithm model for one MS in a typical SE gain and SE loss for each power
The goal of an SNR-based algorithm MIMO-OFDMA cellular system. increase of ΔPS .
is to maintain the desired received At any time, the MS uses the uplink to At any time, when a power increase
signal strength in the BS. An transmit to its home BS and causes of ΔPS is assumed, the SE gain of the MS
SNR-based algorithm does not take interference to neighboring BSs. To on the subcarrier can be obtained by
into consideration the uplink-received simplify the analysis, simple input
interference power level. This type of simple output (SISO) is assumed, and
SE gain =log(1+SINR (Home)New )
- log(1+ SINR (Home)Original )) (4)
algorithm is simple to implement and the parameters for the model are
always convergent. Fractional power •Ps : The MS uplink transmission where

56 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P60
Uplink Power Control for MIMO-OFDMA Cellular Systems Special Topic
Rongzhen Yang and Hujun Yin

PS
CL 0
SINR (Home)Original = (5) Home Channel Virtual Cell Channel
NI 0 Home Cell Loss: CLH Loss: CLi
(PS +ΔPS )
SINR (Home)New = CL 0 (6)
NI 0
Combining (4), (5), and (6), SEgain can Virtual Neighbor Cell
be expressed as
ΔPS
SE gain = log 1+( CL 0
NI 0 + PS
). (7) ▲Figure 2. Uplink interference from one MS.
CL 0 theory and is impossible to be BS (an MRC receiver is assumed).
The SE loss on the subcarrier in one implemented in practice. The algorithm It is further assumed that each
neighbor BSi (i = 1-N ) can be requires the home BS or MS to receiving antenna on the BS suffers
expressed as accurately know the received signal similar noise and interference level
power and interference power on all NIH,Ant , and the path loss from
SE loss(i ) = log(1+ SINR (i ) Original )
neighbor BSs on each subcarrier at the transmission antenna to each receiving
- log(1+ SINR (i ) New ) . (8)
time of uplink transmission. Making antenna is similar to CLH , then (14) can
Si
where SINR (i ) Original = , some simple assumptions, we be re-written as
NIi
developed a practical SMST algorithm.
Si Nr ×(PSD Data+ΔPSD Data)
SINR (i )New = , First, we modeled one virtual neighbor 1+
NIi +ΔIi CLH ×NI H,Ant
BS (or sector) that accounts for all SE gain = log
Si is the received signal power on the interference impact on SEloss (Fig. 2). Nr ×PSD Data
1+
ΔPS We assume that all BSs have the CLH ×NI H,Ant
subcarrier of BSi , and ΔI i = is
CLi same downlink transmission power ΔPSD Data
the increased inference power caused level. The total downlink reference CLH
by ΔPS. interference power from the virtual BS = log 1+ . (15)
Nr ×PSD Data
From (8), the total SEloss on the to the MS is NI H,Ant +
CLH
subcarrier in all neighbor BSs can be
PDL_Preamble N
PDL_Preamble
expressed as PDL_I = =∑ (11) Similarly, the new SE loss on the virtual
CLI i=1 CLi
N neighbor BS can be expressed as
SE loss = ∑SE loss(i ) . (9) where PDL_Preamble is the downlink
(
SE loss = log 1+SINR IOriginal,MRC )
I
i=1
preamble power used as the reference 1+SINR New,MRC
Therefore, the optimum power on the signal to measure downlink path loss,
Nr ×SNR I,Ant ×PNoice,Ant
subcarrier can be calculated by and CL I is the virtual downlink path 1+
NII,Ant
increasing P S of the subcarrier by ΔPS loss. The downlink reference signal = log (16)
steps from 0 until the SE gain and SE loss on power of the MS is Nr ×SNR I,Ant ×PNoice,Ant
1+
this subcarrier no longer satisfies ΔPSDData
PDL_Preamble NI I,Ant +
PDL_s = . (12) CLI
SE gain ≥ SE loss . (10) CLH
where NI I,Ant , is the noise plus
If the MS is assigned resources for Downlink SIR can be measured as interference for each antenna on the
uplink transmission by the BS, optimal P virtual BS, PNoice,Ant , is the white noise for
SIRDL = DL_ S , so that
transmission power for all assigned PDL_ I each antenna on the virtual BS, SNR I,Ant
uplink subcarriers can be calculated in is the average SNR level for each
CLI
order to achieve the overall optimum SIRDL= . (13) antenna on the virtual BS, and
CLH
cell throughput. This algorithm is called SNR I,Ant × PNoise,Ant , is used to estimate
the maximum sector throughput (MST) The SE gain can be expressed as received signal PSD for each antenna
algorithm. on the virtual BS.
SE gain = log (1+1+SINR
SINR New,MRC

Original, MRC
). (14) The optimum overall SE can be
obtained when the following condition is
4 Simplified Maximum
One virtual neighbor model is used, met:
Sector Throughput and one MS keeps the same
max (SEH +SEI ) ⇒ ΔSE
Algorithm transmission power spectral density ΔPSDData →0
(PSD) for all data tones (PSD Data). Only = SE gain-SE loss = 0 (ΔPSDData →0 ) . (17)
4.1 MST Algorithm Simplified Form one transmission stream is used, and
The MST algorithm is only useful in there are Nr receiving antennas at the From (13), (15), (16) and (17), we can

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 57

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P61
Special Topic Uplink Power Control for MIMO-OFDMA Cellular Systems
Rongzhen Yang and Hujun Yin

derive and is converted into a linear value to Equation (23) is the final derivation of
align with the linear result of (19). SMST adopted into IEEE 802.16m [3]
NI I,Ant 1
SINR H,Ant = ×(1+ )× Equation (21) is a core part of the IEEE for data-channel power control. 16 m
NI H,Ant Nr ×SINR I,Ant
802.16m [3] uplink power-control control-channel power-control design
SIR DL- 1 (18) algorithm. was discussed in [14].
Nr
Equation (21) is the SMST algorithm
where, SINR H,Ant is the received average combined with minimum target SINR
SINR for each antenna on the home BS, threshold. It expresses the suitable
5 Algorithm Evaluation and
and uplink target SINR in each receiving Comparison
antenna on a home BS. If OLPC is
SIN I,Ant×P Noise,Ant
SINR I,Ant = . applied, the PSD of each data 5.1 Evaluation Considerations
NII,Ant
subcarrier used by the MS is Before evaluating uplink power
Equation (18) can be further control algorithms, the following general
simplified: PSD Tx =L+SINRTarget (dB)+NL+Offset (22) points for system-level simulation need
where L is the average downlink path to be considered:
SINR H,Ant =γ ×SIR DL- 1 (19) loss measured by MS base on BS •sector-cumulated throughput and
Nr
transmission power level and received cell-edge throughput. These are the
where γ is a derived parameter to signal power level, NI is the average metrics of overall performance
control the interference to other cells: noise and interference level for each determined by uplink power-control
subcarrier at the BS antenna, the algorithms.
γ=
NII,Ant
NIH,Ant (
× 1+
1
Nr×SINR I,Ant
. ) (20) information is broadcasted from BS to
MS, and Offset is the MS-specific
•fairness control curve. In general,
the uplink power control algorithm
The parameter,γ , is linearly related power offset decided by the BS. provides a trade-off between
to the ratio of virtual-cell average NI If (21) is used for conventional CLPC sector-cumulated throughput and
level to home-cell average NI level. design, MS needs to report the cell-edge throughput. One new-form
High γ results in high interference over measured downlink SIR value SIRDL to curve is used to show the sector SE and
thermal (IoT), and low γ results in low BS periodically, and BS then uses (21) cell-edge SE (Figs. 3, 4, 8 and 9).
IoT. to calculate the target SINR. Compared •IoT control. IoT is the key metric of
with the measured SINR, the difference uplink interference in a system
4.2 Limitation of Minimum Transmission is compensated by CLPC commands. evaluation. Effective IoT control needs
Rate Equation (21) is the solution for uplink to be proven for an uplink power control
Equation (19) provides the optimal single stream. In IEEE 802.16m [11], algorithm.
solution for the SMST algorithm. The two options for uplink multistream As (22) and (23) show, there are
resulting target SINR on each antenna power control were discussed. The some key parameters that need to be
is expressed as a linear value. From power level of each stream in uplink evaluated and discussed for real
(19), some MSs could have negative multistreams can be kept the same as implementation:
target SINR because of the very low the single stream or the power level of •γ plays a key role in IoT and
measured downlink SIR. For these MSs, each stream in uplink multistreams can fairness. In the evaluation, the results of
the results of (19) indicate that any be reduced to keep the sum of different γ values are discussed
power assigned to these MSs reduces power/interference similar to the single •SINR MIN (dB) is the minimum
overall throughput. Because all active stream. Both options improve threshold for cell-edge user SINR.
MSs must support a minimum performance in different cell sizes and •L is the average downlink
transmission rate to keep them online, in different scenarios, so there is one path-loss measured by the MS. This is
the minimum target SINR (to support additional control parameter added into key to deciding the transmission power
the minimum transmission rate) should (18) to support both options: level. For different product
be set as the threshold. Then, (19) is implementations, the long-term
SINR MIN
modified as follows: average or short-term average have
SINR Target =10log10(max(10 10 ,
cause different effects.
SINR MIN 1
SINRTarget =10log10(max(10 10 , γ ×SIRDL- )) •The open-loop power adjustment
Nr
is decided by the MS. The rate of power
-β ×10log10(TNS ) (23)
γ ×SIRDL- 1 )) . (21) control may be used in product
Nr where β is the newly added parameter implementation. Fast (per-frame) or
In (21), the resulting target SINR is and can be set by the BS as 0 slow (per 50 frames) control rates are
converted to decibels so that the (disabled) or 1 (enabled) for evaluated.
transmission power can be environment performance tuning. TNS
conveniently calculated. The minimum is the total number of uplink 5.2 Results and Discussion
SINR threshold is expressed in decibels multistreams. The evaluation scenario in [15]

58 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P62
Uplink Power Control for MIMO-OFDMA Cellular Systems Special Topic
Rongzhen Yang and Hujun Yin

▼Table 1. Key parameters for IEEE 802.16 m ▼Table 2. Evaluation results of different gamma values
ULPC evaluation scenario
Gamma SINR MIN (dB) Sector Throughput(Mbit/s) Cell-Edge Throughput(kbit/s) Sector SE Cell-Edge SE
Parameter Value 0 0 2.7083 256.5689 0.7222 0.0684
Hexagonal Grid,19 3-Sector
Site Layout 0.2 0 3.2387 262.6901 0.8636 0.0701
Sites, Wrap-Around
Carrier Frequency(GHz) 2.5 0.4 0 3.7738 252.3136 1.0064 0.0673

System Bandwidth(MHz) 10 0.6 0 4.1149 222.1170 1.0973 0.0592

Reuse Factor 1 0.8 0 4.5482 180.5995 1.2129 0.0482


Frame Duration 1.0 0 4.7901 150.1525 1.2773 0.0400
5
(Preamble+DL+UL)( ms)
1.2 0 4.9155 102.1269 1.3108 0.0272
Number of OFDM
18 Full Power(No PC) N/A 3.3585 32.5632 0.8956 0.0087
Symbols in UL Frame
FFT Size(tone) 1024
Useful Tone 864 frame) downlink value used in the based on the results of Table 2.
Number of LRU 48 simulation For OLPC, the power adjustment rate
LRU Type DRU
•average path loss. This is the based on (22) can be per frame
estimated path loss is the very (rate = 1) or infrequent (rate > 1). In
Number of Users
per Sector
10 long-term (~50 frames, smoothing general, the power control rate is
CMIMO Support No factor = 0.02) average downlink path assumed to be 1; that is, in each frame,
User Location Uniform Distribution loss. Ideally, short-term fast fading is OLPC is applied for uplink transmission.
smoothed. However, there are some parameters
Site to Site Distance(m) 500
In product implementation, the path related to product implementation, such
Channel eITU-Ped B,3 km/h
loss for a specific product should be as path loss, L , and downlink signal
Max Power in MS(dBm) 23 somewhere between the two extremes, versus interference SIR DL measurement
Antenna Configuration 1×2 SIMO depending on the averaging factor. The and estimation. NI updating, broadcast
HARQ On (Max Retrains:4/Sync) SINR MIN (dB) is set as 0 dB, and the by the base station, is not performer per
Target PER 0.2 results are shown in Table 2. frame. The change of power dictated
Link to System Mapping RBIR With different γ values, the by OLPC may be longer than one
performance trade-off between sector frame. Therefore, in one extreme case,
Schedule Type PF
SE and cell-edge SE is shown in Fig. 3 a control rate of 50 frames is also
Resource
8 LRU
Assignment Block
0.08
Penetration Loss(dB) 20
0 for SE Calculation : SMST, Instantaneous Pathloss, Power Control Rate=1
Control Overhead
(not Defined yet) : SMST, Average Pathloss, Power Control Rate=1

CMIMO: Collaborative MIMO 0.07


DRU: Distributed Resource Unit
HARQ: hybrid automatic repeat request
LRU: least recently used γ=0.0 γ=0.2 γ=0.4
RBIR: Resource Block Information Rate
Celledge SE: Throught (bit/s/Hz)

SIMO: Single-Input-Multi-Output 0.06 γ=0.6

follows the IEEE 802.16m Evaluation γ=0.8


Methodology Document [16], but some 0.05
simplifications are made for uplink
power control. The key parameters of
the evaluation scenario are listed in 0.04 γ=1.0
Table 1.
In the OLPC algorithm, path loss and
power control rate are related to
0.03
implementation. The path loss L is γ=1.2
applied to (22) to calculate the
transmission power for each subcarrier.
L is estimated by the MS through 0.02
0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4
downlink signaling measurement. For
Sector SE: Average Throughput (bits/s/Hz)
the extreme evaluation setting, the path
SMST: simplified maximum sector throughput
loss L is assumed with two conditions:
•instantaneous path loss. This is the ▲Figure 3. Performance tradeoff curves: instantaneous path loss vs. averaged path loss. The
ideal accurate instantaneous (current calculation of sector spectrum efficiency (SE) and cell-edge SE is defined in [16].

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 59

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P63
Special Topic Uplink Power Control for MIMO-OFDMA Cellular Systems
Rongzhen Yang and Hujun Yin

0.08 between sectors to accumulate


: SMST, Instantaneous Pathloss, Power Control Rate=1
throughput and fairness in cell-edge
: SMST, Instantaneous Pathloss, Power Control Rate=50
performance.
The IoT distribution shows the
0.07
effectiveness of interference
γ=0.2 γ=0.6
management.
γ=0.4
Fig. 6 shows the relationship between
Celledge SE: Throught (bit/s/Hz)

0.06 IoT distribution and control factor γ.


When γ = 0, the SMST algorithm
degenerates to a fixed SINR target
0.05 γ=0.8 γ=1.0 method:
SINR Target =SINR MIN (dB) . (24)
The IoT distribution of γ = 0 is the
0.04
minimum IoT value in the case where all
MSs try to maintain the minimum
γ=1.2 transmission rate expected by the BS.
0.03 The IoT value, an important
measurement for intercell interference
control, is jointly decided by SINR MIN
0.02 (dB) and γ. The SINR (dB) determines
0.8 0.9 1.0 1.1 1.2 1.3 1.4 1.5 the base value of IoT distribution, and γ
Sector SE: Average Throughput (bits/s/Hz)
determines the IoT differential based on
SMST: simplified maximum sector throughput
the base value. Fig. 7 shows IoT
▲Figure 4. Performance tradeoff curves: control rate of 1 vs. control rate of 50. distribution for different SINR MIN values
for γ = 0.2.
simulated to verify the robustness of the closely related to real product
SMST algorithm. implementation. The evaluation results 5.3. Comparison of Different Uplink
In Fig. 3 and Fig. 4, the robustness of are presented as an empirical Power Control Algorithms
SMST compared with path-loss cumulative distribution function (CDF). In this section, we compare the
estimation and power updating rate is Fig. 5 shows one example of how the performance of SMST with fractional
very clear. The average path loss control factor,γ, controls the trade-off power control (FPC) that was
shows minor gain in sector average
throughput but minor loss in cell-edge
1.0
SE. The reason is that the estimated
average path loss can help the MS 0.9
maintain stable transmission power that
is determined by OLPC. Then, the 0.8
signal/interference estimation on the BS
is more accurate, and this is very 0.7
important for the adaptive and :γ=0.0

modulation coding (AMC) process to 0.6 :γ=0.2


assign the desired MCS level to the MS. :γ=0.4
CDF

0.5
The average path loss shows minor loss :γ=0.6
in a cell-edge SE because :γ=0.8
0.4
instantaneous path-loss estimation :γ=1.0
allows the MS to perform fast-link 0.3 :γ=1.2
adaption by OLPC power change. :γ=1.4
Similarly, Fig. 4 shows that a slow 0.2
power-updating rate of 50 has some
gain in maximum sector SE and some 0.1
loss in cell-edge SE.
0
Other aspects of uplink power 0 500 1000 1500
control, such as user throughput User Throughput (kbit/s)
distribution, IoT control, and power CDF: cumulative distribution function
distribution, are shown in one of the
following four cases, because it is ▲Figure 5. User throughput CDF by control factor γ.

60 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P64
Uplink Power Control for MIMO-OFDMA Cellular Systems Special Topic
Rongzhen Yang and Hujun Yin

dBm, the sector SE and cell-edge SE


1.0
degrades at the same time. Similar
0.9 evaluation results can be found in [23]
and [24], where the performance of
0.8 sector throughput and cell-edge
throughput are shown separately by IoT
0.7 level. Here, the IoT level is directly
:γ=0.0 controlled by P0.
0.6
:γ=0.2 When the selected optimum
:γ=0.4 performance point of FPC is P0 = 79
CDF

0.5
:γ=0.6 dBm with fixed α = 0.8, the sector SE is
0.4 :γ=0.8 0.9375, and cell edge SE is 0.0447.
:γ=1.0 Compared with the SMST algorithm
0.3 :γ=1.2
results without optimum searching for
:γ=1.4
SINR MIN ( the value is just set as 0 dB),
0.2 there is still big performance gap. If
SMST maintains the same sector SE as
0.1 FPC optimum point of 0.9375, the SMST
can provide cell-edge SE of 0.0687,
0.0
0 5 10 15 20 which is a 53.69% gain over 0.0447 of
IoT (dB) FPC optimum cell-edge SE. If SMST
CDF: cumulative distribution function maintains the same cell-edge SE as
FPC optimum point of 0.0447, the SMST
▲Figure 6. IoT CDF by control factor γ. can provide sector SE of 1.2404, which
is a 32.31% gain over the 0.9375 of FPC
incorporated into 3GPP E-UTRA after large range. optimum-sector SE. The average
Release8. For the scenario defined in Table 1, performance gap between SMST and
During the development of 3GPP LTE the results of SMST and FPC are shown FPC is 43%. In the second method of P0
specifications, FPC was proposed on Fig. 8. selection, P0 is transformed fromα value
[18]-[20] and used [17] as an OLPC The performance trade-off of FPC is and cell-edge target SNR, as
method for uplink data channel physical located in the range of P0 larger suggested in [23] and given by
uplink shared channel (PUSCH). than -79 dBm. If the P0 is not well
The key to FPC is to compensate the selected, for example, when P0 < -79 P0=α ×(SNR Target +PSDn)+(1-α )×PSD Max (26)
fraction of the path loss by control factor
α, which can be expressed as PSD of γ=0.2
each subcarrier, the same as in (22): 1.0

PSDTx =P0+α ×PL . (25) 0.9

When α = 0 , the FPC algorithm 0.8


degenerates to a fixed transmission
PSD algorithm without affecting path 0.7 : SINR MIN = -5 dB
loss. When α = 1, the FPC algorithm : SINR MIN = -4 dB
provides full path loss compensation 0.6
: SINR MIN = -3 dB
and degenerates to the fixed target
CDF

0.5 : SINR MIN = -2 dB


received signal strength (RSS) : SINR MIN = -1 dB
algorithm. The 3GPP specification [19] 0.4
: SINR MIN = 0 dB
defines α from 0 to 1 as
0.3 : SINR MIN = 1 dB
α∈{0,0.4,0.5,0.6,0.7,0.8,0.9,1}.The
parameter, P0 , is also important in FPC 0.2
: SINR MIN = 2 dB

algorithm. The P0 is mainly defined in : SINR MIN = 3 dB


two ways. In the first method of P0 0.1
selection,α is fixed as one selected
optimum value, such as 0.8, in most 0.0
0 5 10 15 20 25
published results, and P0 is searched IoT (dB)
for the optimum value in each CDF: cumulative distribution function
simulation scenario. P0 is explicitly
signaled by eNodeB [21],[22] with a ▲Figure 7. IoT CDF by control factor SINR MIN.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 61

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P65
Special Topic Uplink Power Control for MIMO-OFDMA Cellular Systems
Rongzhen Yang and Hujun Yin

point of FPC algorithm is difficult to


0.08
: SMST, Average Pathloss, Power Control Rate=1 identify. One selected performance
: FPC,Fixed α=0.5, Different P0 value point for FPC (SNRTarget = 6 dB ,α = 0.6)
0.07
is used for performance comparison, its
Celledge SE: Throughput (bit/s/Hz)

sector SE is 1.0799, and its cell-edge


0.06
SE is 0.0350. If SMST maintains the
P0=-83 dBM -81 dBM same sector SE as FPC selected point
0.05 of 1.0799, the SMST can provide
-79 dBM
cell-edge SE of 0.0607, which is a
0.04
73.43% gain over 0.0350 of FPC
-80 dBM selected cell-edge SE. If SMST
-75 dBM
0.03 -82 dBM maintains the same cell-edge SE as
P0=-84 dBM -74 dBM FPC optimum point of 0.0350, the SMST
0.02 -73 dBM
-71 dBM can provide sector SE of 1.2904, which
-65 dBM is 19.49% gain over 1.0799 of FPC
0.01 selected sector SE. Also, the average
0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4
Sector SE: Average Throughput (bit/s/Hz) gain of SMST compared with FPC can
FPC: Fractional power control SMST: simplified maximum sector throughput
be as much as 46.46%. From Fig. 8 and
Fig. 9, SMST performs 40% better than
▲Figure 8. Comparison of SMST and FPC performance curves (with fixed α = 0.8). FPC.

0.08 : SMST, Average Pathloss, Power Control Rate=1 6 Conclusion and


: FPC,Target SNR=6 dB
: FPC,Target SNR=8 dB Discussion
0.07 : FPC,Target SNR=10 dB In this paper, we have proposed a
: FPC,Target SNR=12 dB
α=0.8 novel uplink power control algorithm,
0.06
SMST, for MIMO-OFDMA systems. We
also performed extensive system-level
simulations to compare different uplink
Celledge SE: Throughput (bit/s/Hz)

0.05 α=1.0 power control algorithms, including the


α=0.6
FPC adopted in 3GPP LTE and
0.04 LTE-Advanced. Our results show that
SMST adopted in IEEE 802.16m
outperforms other algorithms in terms of
0.03
spectral efficiency, cell-edge
performance, interference control, and
α=0.4
0.02 tradeoff control between
sector-accumulated throughput and
cell-edge user throughput. The SMST
0.01
α=0.2
performance gain over FPC can be
α=0.0 more than 40%.
0.00
0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4
Sector SE: Average Throughput (bit/s/Hz) References
[1]“IEEE 802.16m System Requirements Document
FPC: Fractional power control SMST: simplified maximum sector throughput (SRD).”[Online]. Available:
http://www.wirelessman.org/tgm/docs/80216m-07_
▲Figure 9. Comparison of SMST and FPC performance (with fixed-target SNR ). 002r9.pdf
[2]“IEEE 802.16m System Description Document
(SDD) .”[Online]. Available:
where SNRTarget is the cell edge target FPC degenerates to a maximum http://www.wirelessman.org/tgm/docs/80216m-09_
SNR, PSDn is the thermal noise PSD, transmission power scheme. If α = 1, 0034r2.zip
[3]“IEEE Std 802.16m, Amendment to IEEE Standard
and PSD Max is the maximum then (25) becomes for Local and Metropolitan Area Networks - Part 16:
transmission power PSD for assigned Air Interface for Broadband Wireless Access
resource size. PSDTx =SNR Target . (28) Systems - Advanced Air Interface.”[Online].
Available: http://www.wirelessman.org/pubs/
Equation (26) provides the FPC degenerates to a fixed 80216m.html
connection betweenαand P0 . As a receiving-target SNR method. A [4] Dongcheol Kim,Wookbong Lee, Jin Sam Kwak,
Yeong-Hyeon Kwon, Sungho Moon, Jong Young
result, if α = 0 , then (25) becomes comparison of the performances of Han, and HanGyu Cho,“Proposed Text on Power
fixed-target SNR is shown in Fig. 9. Control Section for the IEEE 802.16m Amendment.”
PSDTx =PSD Max . (27) In Fig. 9, the optimal performance ➡To P.67

62 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P66
Mobile Backhaul Solutions Special Topic
Li Mo, Fei Yuan, and Jian Yang

Mobile Backhaul Solutions


Li Mo 1, Fei Yuan 2, and Jian Yang 2
(1. ZTE(USA) Inc., Richardson, TX 75080, U.S.A;
2. ZTE Corporation, Shenzhen 518004, P.R.China)

Abstract: In this paper, we give an overview of mobile backhaul solutions and propose an MPLS-centered solution that takes into
account timing synchronization, OAM, and protection. We also propose an evolved protection bandwidth allocation mechanism that
makes the transport network as efficient as possible.

Keywords: mobile backhaul; multiprotocol label switching (MPLS); optical networking; protection

requirements on delay and jitter protection infrastructure. A means of


1 Introduction •high availability efficiently reserving bandwidth on the

R
ecent advances in cellular •timing synchronization protection path for an MPLS-based
technology have necessitated •frequency and phase network needs to be articulated.
significant improvement in the synchronization for optimal handover •OAM techniques of data-centered
mobile backhaul network. With •coordinated multipoint networks need to be incorporated into
increased use of smartphones and transmission (CoMP) and interference traditional transport networks.
laptops, mobile communication has cancellation in LTE-Advanced •Traffic aggregation needs to be
been moving from voice-centered •enhanced OAM performed closer to the base stations.
infrastructure to data-centered •multiple transport modes that In this paper, a new trend in mobile
infrastructure. support data traffic and TDM traffic for radio access network architecture is
New mobile technologies, such as legacy base stations briefly discussed. In this architecture,
high-speed packet access (HSPA+) •symmetric bandwidth requirements called cloud radio access network
and LTE, have significantly increased for the up-stream and down-stream (C-RAN), baseband processing of the
downlink and uplink speeds over the between the central office and base radio signal is centralized. Although
radio link. Improvements over the radio stations. there are many advantages to a
access link demand similar In traditional wired broadband centralized approach in terms of power
improvement in bandwidth on the access, bandwidth is usually consumption and project engineering,
backhaul network. asymmetrical. As the use of cloud among other things, C-RAN also
However, current backhaul networks services increases, symmetrical requires a very different transport
are based on legacy technologies that bandwidth in both directions becomes network between the radio amplifiers
are optimized for voice transport. To more important when designing the and antenna, and the central location
benefit from advances in radio access network. where baseband signals are processed.
link technologies, the backhaul network In this paper, an
needs to be transformed from a slow, Ethernet/MPLS-based solution is
TDM-centered network into a proposed to satisfy mobile backhaul 2 Basic Requirements
high-speed, data-centered network. requirements. The solution addresses During mobile communication,
Driven by bandwidth demand of the the following issues: connectivity from the base station to the
Internet, engineers have been building •Depending on the situation, the central office occurs over the mobile
high-speed, data-centered networks migration path from the backhaul backhaul network.
for many years. However, building a network (based on legacy technology) In this backhaul network, traffic for
mobile backhaul network is very to the new infrastructure (capable of different generations of the radio
different from building a commercial supporting the new cellular access network is transported. Different
data network. A mobile backhaul technologies such as LTE) may be generations of cellular technologies
network requires different. have different architectural names to
•QoS-based traffic with strict •High availability necessitates indicate cell-site equipment and the

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 63

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P67
Special Topic Mobile Backhaul Solutions
Li Mo, Fei Yuan, and Jian Yang

increases are due to an increase in


MSC-S processing at each hop. With an IP
transport network supporting multiple
Base Station services, and considering IP router port
Backhaul Network cost and processing complexity, mobile
backhaul can best be implemented via
万 万
万 万万 万 万万
万 万万 万 万万万万 万万
万 万万 万 万万万万 万万

万 万万万万 万万
万 万
万 万

an MPLS-based network.
Gateway Another contender for mobile
CO:central office
MSC-S: message switch center-server CO Site ◀Figure 1. backhaul could be optical transport
Mobile backhaul network. network (OTN) with ODU-0 to transport
gigabit Ethernet signals. But an OTN
corresponding equipment in the central carrier-sections, is around network is TDM in nature and cannot be
office (CO). In this paper, the cell-site 300-400 Mbit/s. In LTE, the physical as flexibly deployed as an MPLS
equipment is called base station unless layer can be gigabit Ethernet, 10G network.
otherwise noted (e.g. in LTE, the base Ethernet (in sharing mode), GPON, or
station is eNodeB). The central office 10G EPON. 3.1 QoS and CoS
equipment is called message switch Normal EPON is not included in the To make the network scalable so that
center-server (MSC-S) for voice, and physical layer because the sharing it can provide many different types of
gateway for data (e.g. SAE-GW for capability of the link is a maximum of services, CoS is usually used with
EPC, SGSN for UTRAN). The backhaul 1G. 10G GPON is also omitted because queuing and discard techniques. A
network is shown in Fig. 1. current 10G GPON has asymmetric mobile backhaul network needs to
Connectivity between the base bandwidth. queue and discard priorities from the
station and the CO site is determined Fig. 2 shows the basic requirements radio access network.
by the technology used in the RAN, the for a mobile backhaul for advanced In almost all radio access
location of the cell site, the bandwidth mobile technologies such as LTE and technologies, the relative queuing and/
requirements, and local regulations. LTE-Advanced. or discard priorities are marked. After
In a GSM (voice and limited data baseband processing of the radio
traffic) and UMTS/CDMA 2000 signals at the entrance of the mobile
(voice-centered with increasing data 3 MPLS-Based Solutions backhaul network, the markings on the
traffic) mobile network, many cell sites In this section, the specifics of using radio signals need to be remapped
use microwave as backhaul multiprotocol label switching (MPLS) onto the technologies used in the
technology. However, in the LTE era of technology for mobile backhaul backhaul network.
wide spectrum and high data rate, the application are articulated. The core technology used in the
microwave-based backhaul network Apart from the final access link mobile backhaul network is MPLS over
does not have adequate bandwidth. In (which can be xPON-based), inside Ethernet. For layer-2 (Ethernet), the
this paper, we discuss a fiber-based the mobile backhaul network, the 802.3p bits can indicate the priority of
mobile backhaul network. layer-2 technology is Ethernet. With the packet. At the starting point of the
The current practice for building Ethernet, technologies such as MPLS label switched path (LSP), the
mobile backhaul networks is a flat Layer-2/Layer-3 virtual private network EXP bits can also indicate the priority of
IP-centered architecture. To support (VPN), pseudo-wire for circuit the packet.
legacy mobile technologies, one of the emulation in supporting TDM traffic,
key requirements of a mobile backhaul and MPLS can be easily supported. 3.2 Timing Synchronization
network is support for a native TDM For mobile backhaul applications, a In a mobile backhaul network with
interface. Support for such an interface pure IP-based network with no MPLS Ethernet and MPLS, timing needs to be
usually comes in the form of circuit can also provide adequate service with synchronized for better handoff
emulation, for example, PWE3 increases in delay and jitter. These support, better voice/video quality,
emulation, in the flat IP network.
Different generations of mobile
MSC-S
network also have different delay and
jitter requirements. For LTE, Next Base Station
Generation Mobile Network Alliance
(NGMN) specifies a maximum delay of 万
万 万万 万 万万

TDM and IP Backhaul Network

5 ms (one way), and there are no


万 万万 万 万万万万 万万
万 万万 万 万万万万 万万

万 万万万万 万万
万 万
万 万

Access Technologies
specific jitter requirements. Gigabit Ethernet
The common requirement for LTE Figure 2. ▶ 10 G Ethernet Gateway

bandwidth, which also depends on Mobile backhaul network GPON, 10 G EOPN CO Site
spectrum width and the number of access technologies. CO:central office MSC-S: message switch center-server

64 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P68
Mobile Backhaul Solutions Special Topic
Li Mo, Fei Yuan, and Jian Yang

triggering network protection.


Working path with Bandwidth Reservation MSC-S
4 Protection Bandwidth
Base Station
Backhaul Network
Reservation
万 万
MPLS-based mobile backhaul is a
mesh network with bandwidth
万 万万 万 万万
万 万万 万 万万万万 万万
万 万万 万 万万万万 万万

万 万万万万 万万
万 万
万 万

Protection path with Bandwidth Reservation ◀Figure 3. assurance. When high availability is
Gateway Protection path and required, bandwidth also needs to be
CO:central office
CO Site
bandwidth reservation in assured when the network experiences
MSC-S: message switch center-server the MPLS network. a limited fault, such as a single fiber cut
or a single MPLS transport nodal failure.
lower interference, and better also minimizes service interruptions The current method of supporting
bandwidth (e.g. CoMP). and operational repair time. high-availability is to provide a working
There are a number of ways of •enhancing network availability by path and a protection path. The
supporting timing synchronization, ensuring that defects, such as those required bandwidth is allocated on both
each of which has different advantages resulting in misdirected customer the working path and protection path. If
and disadvantages: traffic, are detected, diagnosed, and the working path experiences a failure,
•GPS based timing. This is accurate dealt with before a customer reports the the protection path is used. Because
for frequency and can also be used for problem. the bandwidth is also allocated on the
phase synchronization. The drawback •meeting service and performance protection path, bandwidth is assured
is that GPS is often unavailable objectives. OAM allows service-level for the backhaul network. This
because of a poor environment for agreements (SLAs) to be verified in a arrangement is shown in Fig. 3.
acquiring GPS signals or because the multimaintenance environment and The protection bandwidth reservation
U.S. government has encrypted the allows service degradation caused by scheme assures bandwidth when there
signals. packet delay or packet loss to be is limited network failure, but shared
•packet-based timing determined. protection between many different cell
synchronization (IEEE1588v2). This A backhaul solution should support sites is not taken into account. This
synchronization mechanism has been end-to-end OAM in a multivendor critical flaw is shown by the simple ring
widely evaluated over the past a few environment and simplify network topology in Fig. 4.
years. It is adequate for synchronization operations with OAM tools. In the arrangement in Fig. 4, the
in universal mobile telecommunications Currently, the telecommunication protection bandwidth reserved on the
system (UMTS) networks. A CDMA standardization sector (ITU-T) SG15 link between nodes 5 and 6 (same as
network uses GPS timing. The and Internet engineering task force other links) is the sum of the bandwidth
performance of this mechanism in (IETF) are cooperating on MPLS for the working paths A and B. If we
synchronizing timing for more transport profile (MPLS-TP). Compared assume a single failure on any node
time-stringent requirements, such as with MPLS, OAM is a very important and take into consideration the ring
CoMP, still requires further study. characteristic of MPLS-TP. Fault structure, the maximum bandwidth
•synchronous Ethernet. This management (FM) OAM functions, such required for protection is the maximum
scheme only works if Ethernet is as continuity check (CC), continuity bandwidth for working paths A and B.
provided end-to-end. In this case, the verification (CV), and
last access link also needs to be remote defect indication
Ethernet, and an xPON-based solution (RDI), can automatically
is excluded. Synchronous Ethernet can
be deployed if the carrier is not using
detect and localize
defects that occur in
1
xPON-based technologies for the network. Performance Protection Path B
access link between the backhaul
network and the base station.
management (PM) OAM
functions, such as packet
6 Working Path A
2
loss measurement (LM), Working
3.3 OAM for MPLS-Based Network packet delay Path B
OAM is an important and measurement (DM), and
fundamental in transport networks[1]. It
contributes to
throughput measurement,
can diagnose service
5 Protection Path A 3
•reducing operational complexity
and costs because it allows for efficient
degradation. OAM
functionality is also the
4
and automatic detection, localization, key for network
handling, and diagnosis of defects. It survivability and ▲Figure 4. Current working and protection-reservation method.

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 65

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P69
Special Topic Mobile Backhaul Solutions
Li Mo, Fei Yuan, and Jian Yang

Bandwidth reservation for any link is


performed in the egress direction. The RRU
adjacent node is concerned with the
ingress direction, which is its egress RRU
RRU
direction on the link concerned.
For bandwidth reservation in a BBU+RRU
packet network, equivalent bandwidth
Transport
is usually used. For any packet stream, To Core Network
the equivalent bandwidth is usually BBU
derived from the peak bandwidth, RRU+BBU
average bandwidth, maximum burst RRU
size, buffer size, and packet loss To Core
probability. The equivalent bandwidth is
somewhere between the average Traditional RAN BBU CRAN
bandwidth and the peak bandwidth.
The theory of equivalent bandwidth,
To Core To Core
sometimes also called effective
BBU: baseband unit CRAN: cloud radio access network RAN: radio access network RRU: radio remote unit
bandwidth, is discussed in [2] and [3].
In the following, for simplicity, the ▲Figure 5. From traditional RAN to C-RAN.
bandwidth required for reservation
implies the equivalent bandwidth. failure. network, the protection bandwidth to be
A link k on node Y is given by Y (k), 4) Protection bandwidth on Y (k), reserved for Y (k) is P (Y (k),J 1(i 1)).
k = 1,..., K y . There are K y links for Y. 1≤ k ≤K y because of failure on J (i ), If the topology constraint is ignored,
The egress bandwidth on a particular 0≤ i ≤KJ.. This failure includes both the upper bound for bandwidth
link has four main features: link and nodal failures. In such failures, reservation on Y (k) that is necessary to
1) Normal working bandwidth. This is the equivalent bandwidth for the handle m faults is the sum of the first m
the bandwidth required if there is no protection path is BP (Y (k),J (i )), where members of the ordered list. That is,
failure inside the network. For a nodal 1≤ k ≤K y and 0≤ i ≤KJ. m
∑P (Y (x),J k(i k)) (4)
link Y (k), 1 ≤ k ≤ Ky, the bandwidth, All the required qualities, BN (Y (k)), k=1

BN (Y (k)) is the sum of the equivalent Ba (Y (k),J (i )), and BP (Y (k),J (i )), where By using this method for reserving
bandwidths of all the working paths 0≤ i ≤KJ for any 1≤k≤K y , are protection bandwidth, the mobile
over Y (k). available once the working path and the backhaul network is efficient and
2) Bandwidth may be absent on Y (k) protection scheme is determined. competitive. A tighter upper bound
because of the failure of link i of node J With this information, we are ready to based on topology and traffic flow is
(i.e. J (i ) failure). For any working path define a quantity also possible for multiple failures, and
over Y (k) and J (i ), when there is J (i ) this will be left for future discussion.
failure, the working-path traffic is P (Y (k),J (i )),Y≠J (1)
absent if the working path goes over where P (Y (k),J (i )) = BP (Y (k),J (i ))-
J (i ) before Y (k). There is a difference Ba (Y (k),J (i )),and 0 ≤ i ≤ KJ. 5 New RAN Architecture:
between 1+1 protection [4] and MPLS If there are N nodes in the network, C-RAN
fast reroute protection [5]. The working for all values of J, where 1≤J≤N,J ≠Y, To this point, there has been no
path traffic is absent for 1+1 protection and for all values of i, where 0≤ i ≤KJ , change to the traditional mobile
and may be absent for MPLS fast a list in descending order can be network architecture, where base
reroute, depending on topology and the constructed based on P(Y(k),*) for a stations consist of the radio unit (RRU)
detour path. Depending on the particular Y (k), 1≤k≤K y. This list is and baseband processing unit (BBU).
protection scheme, the working-path given by The RRU and BBU are connected
traffic may be absent if the working with fiber optics. The RRU is located
P (Y (k),J 1(i 1)),P (Y (k),J 2(i 2)),
path goes over before Y (k). In this with the antenna on top of the cell
…P (Y (k),JM (iM )) (2)
paper, this bandwidth is Ba (Y (k)),J (i )), tower, and the BBU sits on the ground.
N
where 1≤ k ≤K y and 1≤ i ≤KJ. where M =∑l =1, l≠Y Kl +N-1. The notation The BBUs for many different cell sites
3) Bandwidth may be absent on J x is defined as J x∈(1, ...,N ), can be co-located to provide
Y (k) due to failure of J. For a complete J x≠Y and 1≤ x ≤M. centralized baseband processing. The
failure of J, the bandwidth absent on In the ordered list, we have resulting architecture is called cloud
link Y (k) is Ba (Y (k)),J (0)). In this case, radio access network (C-RAN) and is
P (Y (k),J 1(i 1))≥P (Y (k),J 2(i 2))≥…≥ shown in Fig. 5.
the notation is the same as that for
…P (Y (k),JM (iM )) (3)
bandwidth absent because of link Because the modulated radio signals
failure, and J (0) denotes the nodal For a single link or node failure in the are transported between RRU and

66 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P70
Mobile Backhaul Solutions Special Topic
Li Mo, Fei Yuan, and Jian Yang

BBU, the requirements in terms of current and future mobile access Biographies
bandwidth, delay, and jitter are much technologies. An MPLS-based mobile
Li Mo (mo.li@zte.com.cn)received his B.Eng.
more stringent than those in mobile backhaul solution is proposed, and degree from the Department of Electrical
backhaul networks. The preferred timing synchronization, MPLS OAM, Engineering, Queen’ s University, in 1989. After
graduation, he worked at IBM, Nortel, and Fujitsu
method of transport between the BBU and protection have been discussed. A before joining ZTE in 2001. Currently, Dr. Mo is the
and RRU are direct fiber connection or new mechanism for reserving chief architect for ZTE USA and works as marketing
wavelength division multiplexing (WDM) protection bandwidth is described. This specialist for ZTE headquarters in Shenzhen. Dr. Mo
has worked in the networking industry for more than
if conservation of fiber links is required. mechanism ensures that protection 20 years, and has numerous publications and U.S.
Because of fiber connectivity in bandwidth is efficiently reserved for a patents. His research interests include fixed and
mobile core networks, session control, QoS, and
advanced mobile technology, single fault and that an upper-bound routing. Dr. Mo is also an active member of IEEE,
variations, such as C-RAN, on protection bandwidth estimation ITU, ETSI, and IETF.
traditional RAN architecture become mechanism is provided for multiple
Fei Yuan (yuan.fei@zte.com.cn) is the acting vice
feasible. faults. general manager of the Department of Standard
C-RAN architecture is still in its Development and Industry Relations at ZTE
Corporation. He has been working in
infancy. Further division of the workload References telecommunications for eighteen years and has a
between BBU and RRU according to [1] Requirements for Operations, Administration, and strong technical background in a range of fields. Fei
Maintenance (OAM) in MPLS Transport Networks, Yuan guides the standardization and advanced
transport requirements warrants further RFC5860, 2010. research teams with innovative strategies and ideas.
study. [2]ChengShang Chang, Performance guarantees in
communication networks, Springer, 2000. Jian Yang (yang.jian90@zte.com.cn) joined ZTE
[3] Jean-Yves Le Boudec and Patrick Thiran, Network after receiving her bachelor's degree from XiDian
Calculus, Springer, 2001. University. She is now the vice general standard
6 Conclusion [4] Linear Protection Switching for Transport MPLS engineer of bearer networks and has made many
Networks, ITU-T G.8131, 2006. contibutions to MPLS-TP standard development,
In this paper, we have reviewed [5] Fast Reroute Extensions to RSVP-TE for LSP both in the ITU-T and IETF.
mobile backhaul requirement for Tunnels, RFC 4090, 2005.

⬅ From P.62 Kwak,“Uplink Power Control Rule for Uplink (E-UTRA); Radio Resource Control (RRC);
Multi-Streams Transmission.”[Online]. Available: Protocol specification, 3GPP TS 36.331 v9.2.0.
[Online]. Available: http://www.ieee802.org/16/tgm/contrib/ [23]“Performance of Uplink Factional Power Control in
http://www.ieee802.org/16/tgm/contrib/ C80216m-09_1596r1.ppt UTRAN LTE” , VTC Spring 2008, IEEE.
C80216m-09_0634.doc [12] Rongzhen Yang, Hujun Yin, Yang-seok Choi and [24] Anil M.Rao,“Reverse Link Power Control for
[5] Rongzhen Yang, Ali T. Koc, Papathanassiou Apostolos Papathanassiou,“Discussion of CLPC Managing Inter-cell Interference in Orthogonal
Apostolos, W. G, Hujun Yin, Nageen Himayat, by PC-A-MAP IE for TDD and FDD.”[Online]. Multiple Access System” , VTC-2007 Fall, IEEE.
Yang-seok Choi and Shilpa Talwar,“Additional Available: http://www.ieee802.org/16/tgm/contrib/
material related to the Recommended AWD Text C80216m-09_2661.ppt
Proposal section 11.1 in C802.16m-09/0546 (Power [13] Rongzhen Yang, Hujun Yin, Yang-seok Choi and
Control).”[Online]. Available: http://www.ieee802. Apostolos Papathanassiou,“Uplink Power Control Biographies
org/16/tgm/contrib/C80216m-09_0703.ppt Offset Design Discussion.”[Online]. Available:
[6] Jeongho Park, Jaehee Cho, Heewon Kang, Hokyu http://www.ieee802.org/16/tgm/contrib/ Rongzhen Yang (rongzhen.yang@intel.com)
Choi, Jihyung Kim, Wooram Shin and Dong Seung C80216m-09_2660.ppt received his B.S. and M.S.degrees in electrical
Kwon,“Proposed Text of Power Control Section for [14] Rongzhen Yang, Xinrong Wang, Hongmei Sun, Y. engineering from Shanghai Jiaotong University in
the IEEE 802.16m Amendment Working Document Zhu, Yi Hsuan, Alexei Davydov, Hujun Yin, 1997 and 2000. He was a software engineer at
(revision1).”[Online]. Available: Yang-seok Choi, Jeongho Park, Wookbong Lee Intel's China Software Lab from 2000 to 2002, a
http://www.ieee802.org/16/tgm/contrib/ and Wilson Tim,“Discussion of Control Channel researcher at Intel Research from 2003 to 2004, and
C80216m-09_0612r1.pdf Power Control Design.”[Online]. Available: a wireless security architect at Intel APAC Wireless
[7] P. Wang, A. Boariu, Joon Chun, Xiaoyi Wang, http://www.ieee802.org/16/tgm/contrib/ Security from 2005 to 2006. He is currently a system
Zexian Li,“Proposed Text on Power Control Section S80216m-09_2846.ppt engineer of advanced wireless technology at Intel
in Amendment Text.”[Online]. Available: http://www. [15] Wookbong Lee, jeongho. park, Yang Rongzhen, Corporation. Rangzhen Yang’s research interests
ieee802.org/16/tgm/contrib/C80216m-09_0545.doc Koc, Ali T; Zeira Eldad, W. Fan, robson domingos, include technology development for advanced
[8] Rongzhen Yang, Apostolos Papathanassiou, W. minoh, HanGyu Cho; Dong-cheol Kim; wireless standards in personal, local, and wide area
Guan, Ali T. Koc, Hujun Yin and Yang-seok Choi, JongYoung Han; Fred Vook, Mark Cudak, Andre wireless networks. He has written more than 10
“Supporting material for Uplink OLPC Proposal barreto, and Xiaoyi.Wang,“Evaluation journal and conference articles and has more than
C80216m-09/0844.”[Online]. Available: http://www. Methodology for Uplink Power Control Algorithm.” 40 patents.
ieee802.org/16/tgm/contrib/C80216m-09_0845.ppt [Online]. Available:
[9] Jeongho Park, Suryong Jeong, Jaehee Cho, http://www.ieee802.org/16/tgm/contrib/ Hujun Yin (hujin.yin@intel.com) received his B.S.
Heewon Kang and Hokyu Choi,“Performance C80216m-09_1167.doc and an M.S. degrees from Shanghai Jiaotong
comparison between various Open Loop Power [16]“IEEE 802.16m Evaluation Methodology University in 1995 and 1998. He received his Ph.D.
Control schemes.”[Online]. Available: http://www. Document.”[Online].Available: http://wirelessman. in electrical engineering from the University of
ieee802.org/16/tgm/contrib/C80216m-09_1042.pdf org/tgm/core.html#08_004 Washington in 2001. From 2001 to 2002, he was a
[10] Rongzhen Yang, Apostolos Papathanassiou, W. [17] Evolved Universal Terrestrial Radio Access senior researcher at AT&T Research, where he
Guan, Ali T. Koc, Hujun Yin, Yang-seok Choi, (E-UTRA); Physical layer procedures, 3GPP TS focused on wireless network management and
Jeongho Park, Suryong Jeong, Jaehee Cho, 36.213 v9.1.0. optimization research. From 2002 to 2004, he was a
Heewon Kang, Hokyu Choi, Dong-cheol Kim, [18] Evaluation of Slow Power Control Techniques on senior wireless architect at ViVATO, where he was
Wookbong Lee, HanGyu Cho, Jihyung Kim, Dong the System Performance of Uplink SC-FDMA, responsible for smart antenna enhanced 802.11
Seung Kwon, Xiaoyi Wang and Zexian Li,“IEEE 3GPP R1-061754. WLAN network solutions. Dr. Yin is currently a
802.16m Amendment Text Proposal for Uplink [19] Uplink Power Control for E-UTRA, 3GPP principal engineer and director of advanced
Open-Loop Power Control.”[Online]. Available: R1-062612. wireless technology at Intel Corporation. He leads
http://www.ieee802.org/16/tgm/contrib/ [20] Uplink Power Control for E-UTRA, 3GPP technology development for advanced wireless
C80216m-09_0844r4.doc R1-062861. standards in personal, local, and wide area wireless
[11] Rongzhen Yang, Apostolos Papathanassiou, W. [21] Uplink Power Control for E-UTRA - Range and networks. Dr.Yin has more than 20 journal and
Guan, Hujun Yin, Yang-seok Choi, Dong-cheol Representation of P0, 3GPP R1-074850. conference articles and has over 50 patents.
Kim, Wookbong Lee, HanGuy Cho and Jin Sam [22] Evolved Universal Terrestrial Radio Access

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 67

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P71
Lecture Series The Internet of Things and Ubiquitous Intelligence (4)
Dongliang Xie and Yu Wang

The Internet of Things and


Ubiquitous Intelligence (4)
services applications. It expands
Dongliang Xie hardware capacity, makes software
reconfiguration reduces easier,
Yu Wang overheads of software virtual machines
is reduced, and supports multiple
(State Key Laboratory of Networking and Switching Technology, Beijing University of Posts and
Telecommunications, Beijing 100876, P. R. China) operating systems. With virtualization,
use of the server is greatly enhanced.

8.2.2 Data Storage and Management


Editor's Desk: In cloud computing, data is highly
The traditional Internet is oriented towards person-to-person connection, whereas the reliable and highly available because of
Internet of things (IoT) is oriented towards connections between inanimate objects. IoT storage redundancy. Data storage
covers a larger range of connections and involves more semantics than traditional Internet. technologies involve cluster computing,
Traditional Internet and telecom networks focus on information transfer, but IoT focuses on data redundancy, and distributed
information services. By combining sensor networks, Internet, telecom networks, and cloud storage.
computing platform, IoT can sense, recognize, affect, and control the physical world. The The frequency of data access is
much higher than that of data update
physical world can be unified with the virtual world and human perception. In this part, we
because of one characteristic of cloud
discuss cloud computing and the cyber-physical system (CPS).
computing—analyzing the stored and
accessing massive data [1]. Therefore,
cloud data management focuses on
optimizing the data access operation.

hardware, infrastructure, platform, 8.2.3 Parallel Programming


8 Cloud Computing software, and storage services. The The cloud computing programming

A
distributed CPU is the core term“cloud”vividly describes the model must be very simple, and
component in cloud computing characteristics of cloud computing: complicated parallel execution and task
and plays a pivotal role in the virtualization, transparency, scalability, scheduling must be hidden in the
development of the Internet of and elasticity. It is an apt term for background. The programming model
things. Combining cloud computing describing the abstraction of underlying must be transparent, enabling users to
and the IoT can boost the entire infrastructure. develop programs for specific
industry and value chain if capability Cloud computing integrates purposes.
and resource sharing, quick service distributed computing, parallel Most cloud computing systems adopt
deployment, expansion of new computing and grid computing. At its Google’ s MapReduce [2]. This is a
human-thing interactive services, and core, it virtualizes computing, resources distributed parallel programming model
deep data mining are optimized. When of large data centers and provides and also an efficient task-scheduling
the Internet of things reaches a certain these to users as a service. model.
level, its dependence on cloud
computing will be greater. 8.2 Key Technologies 8.3 Combining with the Internet of Things
Cloud computing is a form of Cloud computing and IoT are
8.1 Concept and Current Status data-intensive super computing. It has complementary. Development of IoT
With the development of electronics, unique data storage and management requires the powerful processing and
communications, computers, and technologies and a unique storage capabilities of cloud
network technologies, cloud computing programming model. computing. If cloud computing
is an inevitable stage in the evolution of infrastructure is used to mine, process,
turing computing to network computing. 8.2.1 Virtualization and analyze mass data collected on the
Based on the Internet, network Virtualization is at the core of cloud ubiquitous sensing layer, IoT can
computing provides applications with computing, and is the basis for all cloud quickly, accurately, and intelligently

68 ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P72
The Internet of Things and Ubiquitous Intelligence (4) Lecture Series
Dongliang Xie and Yu Wang

manage and control the physical world process-relevant and time interconnected device layers, monitor
and provide technical support for space-focused), enabling the discrete errors in user applications and physical
ubiquitous services. The IoT will also be computing process to interact and components, and quickly recover CPS
the largest user of cloud computing tightly couple with the continuous from a system failure. Electricity CPS is
services, which will, in turn, lay the physical process. a critical infrastructure, and
foundation for more successful cloud •It is adaptive to dynamic changes guaranteeing its security is an important
computing. in the physical world; it has powerful issue.
reorganization and reconstruction
capabilities; it can esaily; and it is easy
9 Cyber-Physical System to connect with other CPS subsystems. 10 Summary
Cyber-physical system (CPS) was •Because it has multidimensional The IoT, cloud computing, and CPS
first proposed by the US National time-space complexity, CPS should be interact and couple with each other. IoT
Science Foundation (NSF) in 2006. CPS an open, trustable, and predictable is the intuitive application of CPS, and
is expected to become the third wave of embedded system. The embedded cloud computing provides technical
information technology following the computing system of CPS support for IoT information service. The
computer and the Internet. CPS interconnects and interoperates with demands of economy and society on
ubiquitous sensing, control, and other cyber systems via the Internet. the Internet of things and CPS go far
computing and the close integration of Furthermore, CPS has stepped into beyond existing applications.
human, machines, and things are the fields that are closely related to national Therefore, from the perspective of
ultimate goals of IoT. infrastructure and people’ s daily lives. economic development and technical
It is sensitive to security, and its innovation, IoT and CPS are a trend in
9.1 CPS Overview technologies and products must be the development of global information
CPS is complicated a precise and highly reliable. technologies and industry. They will
multidimensional, embedded system have a profound effect on existing
that is integrated with computing, 9.3 Challenges industrial structure, become the core
network and physical environments. To realize the goals of CPS, there are competence in the new industrial
With the integration of 3C (computation, some technical challenges to be structure, and cultivate new economic
communication, and control) addressed. A good architecture is growth.
technologies, CPS delivers real-time critical in maintaining scalability,
sensing, dynamic control, and durability, diversity and continuous
information services for large technical innovation in CPS [3]. It is also References
[1] Q.Chen and Qianni Deng,“Cloud computing and
engineering systems. Through the key to customer investment. its key technologies,”in Journal of Computer
interactive, cyclic feedback in To ensure sustainable development Applications, vol.29,no.9,pp.2562-2567,2009.
[2] J.Dean and S. Ghemawat,“MapReduce:Simplied
computing and physical processes, of CPS, the architecture should be Data Processing on Large Clusters,” in Proc. 6th
CPS closely integrates these processes stable, a clear roadmap should be USENIX Symp. on Operation Syst. Design and
and adds or expands new functions in devised that can lead to a targeted Implementation (OSDI'04), New York,2004,
pp.137150.
real time. In this way, a physical entity study based on core CPS architecture, [3] T.Aldridge, G.Allee and A.Gorius,“Syst.
can be monitored and controlled in a and a development schedule should be Architecture and Industry Structure as Interrelated
Foundations for Progress in Cyber-physical Energy
secure, reliable, efficient, and real-time in place so that technical achievements Syst.,”in Proc.National Workshop on Research
manner [5]. are planned and predicted. The natural Directions for Future Cyber-physical Energy Syst.,
development cycle should be balanced. Baltimore,2009.

9.2 Characteristics There are several challenges in the


CPS has the following characteristics: development of CPS. The theoretical
•It integrates computing, basis differs from the application Biographies
communication, and control because it model. The key to the success of a
Dongliang Xie (xiedl@bupt.edu.cn) is a director
embeds computing capability deeply technology is its deployment in actual and associate professor at the Broadband Network
into each physical subsystem. It aims environments. It is also necessary to Center of State Key Laboratory of Networking and
Switching Technology, Beijing University of Posts
for precise control over the physical maintain the integrity, reliability and and Telecommunications. He researches wireless
processes in networks. security of the architecture. Because of and mobile network technologies, wireless sensor
•It requires the integration of uncertainty in the external environment networks, mobile Internet QoS, network cooperation,
and ubiquitous intelligence. He has published more
computing and control technologies. To and potential changes, CPS should than 40 papers.
connect the cyber world to the physical respond to a system failure or malicious
Yu Wang (wang0yu@gmail.com) is a master’s
world, CPS should integrate computing attack automatically, autonomously, student at the State Key Laboratory of Networking
technologies (which are discrete and quickly. According to the and Switching Technology, Beijing University of
event-relevant and indifferent to time characterisics described in 9.2 the OS Posts and Telecommunications. She researches
convergence of wireless sensor network and
and space) with the control and architecture should be able to ubiquitous network.
technologies (which are continuous manage redundant resources on the

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS 69

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P73
ZTE Communications
Table of Contents Volume 9, Numbers 1-4, 2011

Volume-Number-Page
GUEST PAPER
Chinese Tri-Network Convergence: Characteristics and Challenges………………………………………………Hequan Wu 9-1-01

SPECIAL TOPICS
Mobile Cloud Computing and Applications
Guest Editorial ……………………………………………………………………………………………………… Chengzhong Xu 9-1-03
A Survey of Mobile Cloud Computing ………………………………………… Xiaopeng Fan, Jiannong Cao, and Haixia Mao 9-1-04
Mirroring Smartphones for Good: A Feasibility Study ……… Bo Zhao, Zhi Xu, Caixia Chi, Sencun Zhu, and Guohong Cao 9-1-09
A Cloud-Based Virtualized Execution Environment for Mobile Applications…………………………………Shih-Hao Hung,
Tei-Wei Kuo, Chi-Sheng Shih, Jeng-Peng Shieh, Chen-Pang Lee, Che-Wei Chang, and Jie-Wen Wei 9-1-15
Building a Platform to Bridge Low End Mobile Phones
and Cloud Computing Services……………………………………………Fung Po Tso, Lin Cui, Lizhuo Zhang, and Weijia Jia 9-1-22
WiFace: A Secure Geosocial Networking System
Using Wi-Fi Based Multihop MANET …………………… Lan Zhang, Xuan Ding, Zhiguo Wan, Ming Gu, and Xiangyang Li 9-1-27
A Case for Cloud-Based Mobile Search …………………… Yan Gao, Li Fu, Zhenwei Zhang, Shengmei Luo, and Ping Lu 9-1-33
An On-Demand Security Mechanism for Cloud-Based
Telecommunications Services ………………………… Zhaoji Lin, Ping Lu, Shengmei Luo, Feng Gao, and Jianyong Chen 9-1-37

Microwave/RF Technologies for Future Wireless Communications


Guest Editorial ………………………………………………………………………………………… Ke-li Wu and Keqiang Zhu 9-2-01
RF Technologies and Challenges for Future MBR Systems
in Cellular Base Stations …………………… Hongyin Liao, Baiqing Zong, Jianli Wang, Keqiang Zhu, and Changjiang Cao 9-2-02
Broadband Power Amplifiers for Unified Base Stations ………………………………………………………… Pengcheng Jia 9-2-08
Single Mode DR Filters for Wireless
Base Stations ……………………………………… Ji-Fuh Liang, Guo-Chun Liang, Marco Song, George He, and Tony An 9-2-12
Design of a Magneto-Electric Dipole Element for Mobile Communication
Base Station Antennas ………………………………………………………………………… …Hang Wong and Kwai Man Luk 9-2-20
Advanced Synthesis Techniques for Microwave Filters …………………………………………………… Richard J Cameron 9-2-27

Advances in Digital Front-End and Software RF Processing: Part I


Guest Editorial………………………… Jun Fang, Fa-Long Luo, Mikko Valkama, Serioja Ovidiu Tatu, and Tomohisa Wada 9-3-01
Adaptation of a Digitally Predistorted RF Amplifier Using Selective Sampling …………………………… R. Neil Braithwaite 9-3-03
A New Two-Branch Amplification Architecture and its Application
with Various Modulated Signals………………………………………………………W. Hamdane, A. B. Kouki, and F. Gagnon 9-3-13
FPGA Implementation of a Power Amplifier Linearizer
for an ETSI-SDR OFDM Transmitter …………………………………………………………… Suranjana Julius and Anh Dinh 9-3-22
Design Technologies for Silicon-Based High-Efficiency
RF Power Amplifiers: A Brief Overview……………………………………Ruili Wu, Jerry Lopez, Yan Li, and Donald Y. C. Lie 9-3-28
Multi-Gbit/s 60 GHz Transceiver Analysis Using FDM Architecture
and Six-Port Circuit …………………………………… Nazih Khaddaj Mallat, Emilia Moldovan, Serioja O. Tatu, and Ke Wu 9-3-36
Millimeter-Wave Heterodyne Six-Port Receiver: New Implementation
and Demodulation Results …………………………………………………………… D. Hammou, E. Moldovan, and S. O. Tatu 9-3-42

Advances in Digital Front-End and Software RF Processing: Part II


Guest Editorial………………………… Jun Fang, Fa-Long Luo, Mikko Valkama, Serioja Ovidiu Tatu, and Tomohisa Wada 9-4-01
Polyphase Filter Banks for Embedded Sample Rate Changes
in Digital Radio Front-Ends …………………………… Mehmood Awan, Yannick Le Moullec, Peter Koch, and Fred Harris 9-4-03

December 2011 Vol.9 No.4 ZTE COMMUNICATIONS Ⅰ

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P74
ZTE Communications
Table of Contents Volume 9, Numbers 1-4, 2011

Volume-Number-Page
Design of Software-Defined Down-Conversion and Up-Conversion:
An Overview………………………………………………………… Yue Zhang, Li-Ke Huang, Carsten Maple, and Qing Xuan 9-4-10
Practical Non-Uniform Channelization for Multi-Standard
Base Stations…………………………………………………………Álvaro Palomo Navarro, Rudi Villing, and Ronan J. Farrell 9-4-15
Crest Factor Reduction for OFDM Using Selective Subcarrier Degradation ……………………………… R. Neil Braithwaite 9-4-25
An Antenna Diversity Scheme for Digital Front-End
with OFDM Technology…………………………………………………… Fa-Long Luo, Ward Williams, and Bruce Gladstone 9-4-32
A Histogram-Based Static-Error Correction Technique
for Flash ADCs ………………………………… Armin Jalili, J Jacob Wikner, Sayed Masoud Sayedi, and Rasoul Dehghani 9-4-35

Advances in Mobile Data Communications


Guest Editorial ……………………………………………………………………………………………………Sean Cai and Li Mo 9-4-42
Enhanced Cell-Edge Performance with Transmit Power-Shaping
and Multipoint, Multiflow Techniques …………………………… Philip Pietraski, Gregg Charlton, Rui Yang and Carl Wang 9-4-43
Spatial Load Balancing in Wide-Area Wireless
Networks…………Kambiz Azarian, Ravindra Patwardhan, Chris Lott, Donna Ghosh, Radhika Gowaikar, and Rashid Attar 9-4-49
Uplink Power Control for MIMO-OFDMA Cellular Systems…………………………………… Rongzhen Yang and Hujun Yin 9-4-55
Mobile Backhaul Solutions…………………………………………………………………………Li Mo, Fei Yuan, and Jian Yang 9-4-63

RESEARCH PAPERS
Multifrequency Networking Solution for TD-SCDMA ……………………………… Min Jin, Wenbo Wang, and Mugen Peng 9-1-41
ZP-CI/OFDM: A Power Efficient Wireless Transmission Technology …………………… Pei Gao, Xiaohu Chen, Jun Wang 9-1-45
Research on the Next Generation Naming System …………………………………………………Fuhong Lin, Changjia Chen 9-1-49
Privacy-Preserving Protocol for Data Stored in the Cloud …………………………… Hongyi Su, Geng Yang, and Dawei Li 9-2-36
A Mobility Management Solution Based on ID/Locator Separation ………… Yuhong Li, Yunjing Hou, and Shiduan Cheng 9-2-39
Self-Adaptive QoS Control in Cognitive Networks That Is Based
on Service Awareness………………………………………………………………Chengjie Gu, Shunyi Zhang, and Yanfei Sun 9-2-44
Security Service Technology for Mobile Networks ………………………………………… Aiqun Hu, Tao Li, and Mingfu Xue 9-3-49

DEVELOPMENT FIELDS
Adaptive Multiantenna Technology …………………………………………………Huahua Xiao, Dengkui Zhu, and Liujun Hu 9-1-54
A P2PSIP System with Intelligent Routing Function
on the Media Plane ……………………………………………… Yongsheng Hu, Zhenwu Hao, Jun Wang, and Naibao Zhou 9-2-49
Research on LTE Network Coverage Planning ………………………………………………………… Jun Gu and Ren Sheng 9-3-55

OPERATIONAL APPLICATIONS
Green Base Station Solutions and Technology ………………………………………………… Zhiping Chen and Licun Wang 9-1-58
Architecture and Key Technology of Distributed Intelligent
Open Systems ………………………………………………………………… Xiaoyu Tong, Yunyong Zhang, and Bingyi Fang 9-2-53
Cloud Computing in Mobile Communication Networks……………………………………………………………Xinzhi Ouyang 9-3-59

LECTURE SERIES
The Internet of Things and Ubiquitous Intelligence (1) ……………………………………………… Dongliang Xie, Yu Wang 9-1-62
The Internet of Things and Ubiquitous Intelligence (2) ……………………………………………… Dongliang Xie, Yu Wang 9-2-58
The Internet of Things and Ubiquitous Intelligence (3) ……………………………………………… Dongliang Xie, Yu Wang 9-3-63
The Internet of Things and Ubiquitous Intelligence (4) ……………………………………………… Dongliang Xie, Yu Wang 9-4-68

Ⅱ ZTE COMMUNICATIONS December 2011 Vol.9 No.4

D:\EMAG\2010-05-26/VOL8\COVER.VFT——5PPS/P75

You might also like