You are on page 1of 62

2 Logische Schaltungen

   

 

 
 

  


  


   
       

2.1 Grundbegriffe

  

   
         

      
     ! "  

  #        "
      $ %&
    !      ' "


(  $            
  
" $   )*   )+  $"

,       ,,  --
$' 
,  &.    /       0, $' 

,      !    ue   .   ua $'     


'      

+VCC /+VDD (z.B. +5V)

ue ua

GND

.$$  
+1 "
        
)VCC/ ) VDD    0, /

!  2       ("


       + '  
  &
      ("
  '  "
  $ 
 ULOW    (      UHIGH   
( + '      3 
     #'$  
   '
,     ULOW      &
    
4      ' ULOW  (   +
 UHIGH  (     $'     (    3 
     .$$  ' 

 
  

ua
+5V

11
00 1
5
01 HIGH
4

3
"verbotene Zone"
2

0110 0
1

01 LOW
0V ue
1 2 3 4 5

  
   ua  fue     

               !  "         #$ 


%             $          &  $ !
     '     " &  $       "       
  !   (        
)$   *  $  &  $ !      , +   -   
  " &  $  $  ) ! " +  $       !  )$ 
     

U U
p p
pp pp pp pp

   
 

...................................................................... p.......................................................................
......................................................................
UHIGH .......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
....................................................................... .......................................................................
......................................................................
.......................................................................

.......................................................................
......................................................................
....................................................................... .......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
...................................................................... ......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
....................................................................... .......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
......................................................................
.......................................................................
...................................................................... p p p p
..........
.......
......................................................................
.......................................................................
......................................................................
.......................................................................
...................................................................... ..........
.......
ULOW
         
  .
/ $    $            (

&   "    $  $      &     !  $  "0


 "$  1   ∧  2! ∨ 134  ¬ 21%      5   ) " 0
"$  6     $           $   
      $  1    1   $ 7"        
  
         
  "       
2"    ""      $    " &$    
3 $ &  !  $  $  8  " ) !      
   $    ')" 9        9  ) 4     $ 
8  2! 134  2:6%    9           
   
 


AND OR NOT
a e½ ∧ e¾ a e½ ∨ e¾ a ¬e

e½  a
e½ ≥
a e
 pppppppppp a
e¾ e¾


  


       


 
       ! "#
   $% ! $% "#

NAND NOR
a ¬ e½ ∧ e¾ " a ¬ e½ ∨ e¾ "

e½  pppppppppp a
e½ ≥  pp p p p
p pp p p a
e¾ e¾


& '   $%' 

(     )  

    * +*  ,  *!


)
   !!)  - .!  ),  /*,
,
   -!#

  


AND OR NOT
a e½ ∧ e¾ a e½ ∨ e¾ a ¬e

e½ ≥  p ppp
p pp p
≥  pp ppp ≥  ppp p
 ≥  p p ppp

p pp ≥  pp p p
e
pp pp a
pp p a e¾ p p pp a 
e¾ ≥  ppp pp 
pp p



0 1!!) $2!  $%# -

  
 


AND OR NOT
a  e½ ∧ e¾ a  e½ ∨ e¾ a  ¬e


e½ pppppppp
pppppppp e
pppppppp a  pppppppp a
pppppppp a
e¾ 
 e¾
pppppppp


 
      
        
      
    ! "
    !      !#  
!   $  %      
"&      %     
  ' 
( pppppppppp ppppppppppp
e½ ) ( pppppppppp
e½ ) pppppppppp
a
a
e¾ e¾

  * +  !   , ¬-¬½ ∧ ¾ .

  $/   "& 0!  (! $/# &
"   '"  1/"/ +   (! $  # &
    ( ! &#  1"      02 
&  $    0 ! -1"   (. 3 '4  " 
  0! $       5 
$  " #      0! $ "   
 "  
(!
p
0!

R R pppppppppppppp R

  6 (!  0! $/


$  ! ' /  1  " 2 
  
   ' &     
7"
Power dissipation:  !   +  #   8# 8
 8  &
 
 

Propagation delay:      


       
   
                 
Fan out: 

                
     
     
   
 
 
! 
 
 " 
#

2.1.1 Fan Out



       
 
!  !  $ "  ! 
  #%     &  
 
   
  
 ' ( 
)*
( 
 "  
  & !
&+  , !
,-.,# - 
    
       
   
  /

 
 
01

   
   /

    
 
  -

0 
 
    
 2 3  
&
!2 ' (

)* ( "4  & #   -
 0 
  $  4

  5 
   !

   

 
a# b# 
  
V
......
Ri
..
...... ......
... ... ....

........
H ..........ppppppp Ri
p...p....... p p p pppppppppp ppppp
.....
............  ppppp p ua
ppp pp pp pp p p
.. ..
.... .
..
...... ...... ppp p p p p pp
L ....

... ..
......

V .
...
..... ......
....

  67'% $         


  E
s b " #


         
 $  
   82

  82  
     
 "2

#%   
  1

     
, 
   4
   2   


  
 9    
2  
     
 $    

! % , 
        1

 : 

   
     
   
   

 

 
    
  
  !  $   

;
     
 RE  

 82 CE    

Eingang

RE CE

0V

  677% 
    
  
  

  


              

                   
   
               

      
   
  C  C     
   
                 !
 
E½ E¿

!        


 C  C        
  "     
     #     $
L½ L¿

r
CE ½
CL ½
r r
CE ¾ .......
.......
......
CL ¾ .......
.......
CE ½ CE ¾ CE ¿   
CL ½ CL ¾ CL ¿    Cges
r
CE ¿
CL ¿

  %&%$      


       
    ' (  
  )  
   #*      "   
# #
  "      
    
 u +t,    - *      # .*
  
  #   $
C

 
-   $ uC t + ,/ U¼ · &−e − τt

U . . . 0 
¼

   $ uC t + ,/ U¼ · e− τ
t

U¼ . . . #  
    τ / R·C  1           +2 . . . 3,τ  
    +  2 · τ  456    3 · τ  446  ,
ges

u+t,
.
.....
... ...
... .
. .....
... ....
. ..
..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ........... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..
p p pp p p pp
U¼ .....
p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p pp p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p p
ppp pp p p p ppppp pp p p ppppp pp pp pp pp p pp p p
.

+ ,/ +& ,
.. .
p p p pp p p p p p p p p p p p p
... ..
... ..
...
...
p p p p p p p p p .. t

pp p p p p pp U¼ · − e
...
...
...
ut
p p pp pp
. τ
...
... ....
p pp p p
...
...
pppp p pp
. . ..
. .
..
... ...
p ...
p ppp
.
...
... ....
pppp ...
...
pppp ppp
.
. ....
..
.... ...
p .
... .
p pp
.
... ....
p pp ...
... ....
ppp pp ...
.. ... ...
pp
.. .
...
. ... ...........
.. . .......
...
.
t
...
... .
. .
...
... .
.
................... .............
.. τ ....... ..
..
... ...
.

  %&7$ "   


#        + -   ,
 
 

   



    
        


        !
"  
  τ # R · C   
$% 

&'
u$t&
.
.....
... ...
... ...
... .
... ...
...

U¼ pppppppp
. .
............... ..... ..... ..... ..... ..... ..... ..... ..... ..... ....... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..
... .
... ...
pp p p ...
...
...
.
pp pp ...
...
...
.
pp p p ...
...
...
.
pppp ...
... ...
ppp p ... .
pp pp p ...
...
...
....
pp pp p ...
...
...
.
pp pp p ...
... ...
p pppp p ... .
...

$ &#
p ppppppp
...
... .
p pp p p p p p p p
...
... ...
.
...
...
...
...
p p pp pp p p p p p p p
...
. p p p p pppppp pp p p p p ppp p pp p u t τ
t
U¼ · e −
...
... ..
..
p pp pp pp ppppppp p pp pppp pp pp p pp pp ppp ppp pppppp ppp pp ppppppppppppp
... ...
... .
....
pppp pppp ppp ppp ppp pppppppppp p pp pp ppp pp p ppp ppp pp p pp p ppppp ppp ppp pp p p p pp
.. ...........
. .......
...
.
t
...
... .
.
.
.. ...
. .
................... .............
. τ ....... ..
..
... ...
.

(!!  ')*+ , 


  - !   
 $.   &
/ 

  0
   
 
      
1   
 
 2 
    ,  3    3 "' 4
 (-  .     !3



"  ! -5     ," 
 !!  '
4
 !  -    0
 " ! ! 


   (   .     
 (
  
" 

   -   " !


" ' 6     7
 " (   
" 8!  .      (
    $(

- "&'

   9 ' ' )
 -   (
  7  .     
" 

 
'  
 

 !
"
 

   "'   


  9 "     !

    !   "  
 

 .   
 (
    " ," 
-    '  !  ," 
-   


 
   ' 
 .      
 :   "
   
   


    -
   

 0

 , 
    '
2.1.2 Schaltkreisfamilien
  "
    +
+ 4
      8     

     

   - $;   <&    " '


TTL (Transistor-Transistor Logic)

 "   $' ' "  .


  ,"<4& !
" 
 !=
" 
 ! "  "  .
'
ECL (Emitter Coupled Logic)+ 4 >  ? 
   - $   
<& !  ,
" ?
  "  8 > 
 
    
" 
    2
  !'
MOS (Metal-Oxid Semiconductor)+  - "    
 :"
   

@
  A" ! "   ,"     '
CMOS (Complementary MOS)+ 4
    
 "  
 0

- 
  8 !


!" "   
 !  
  
 


      


  
  
   
 
   

 
     
 


 

!  "#" $  %&    "   " ! 


'#     ( 


""   )   
)
""  *"    "  


""

2.1.3 Signalnamen und Signalverbindungen


&# 
 
   "  +    '

  


   
)  " )    
 "   "
  " 
 "

K1 &

=1

>1
K1

' " , -.  "

 
 

   /    




  
 
 * "    " 
     
 
          
 
!
 
  ) 
    .

Verbindungen keine Verbindung

' " , -0.   )  "" 

&#  
"  "
$
)"# 1  *"  "2 3 # #

 

" $     


 1  4
     "

5 "" 2 
   ' "  
 #

 $  .

' " , -6.  " "


 






 

 


 
 

 
 
   

 


3
8 8 5

 
 


  



 


 


 
 
  
 
!  


  # 
$ %  
"
  

 !    

 
 
  
 
      &

 


  

LSB 1
0
5
1
1
MSB 1

 
' 
 
   
 

2.2 Realisierung von Funktionen


(
 
 
  
!     )



* 

 


   +   




,&- . e½ ⊕ e¾
 
*
 
/0! &-
 /&1 
 +
  )


2¬e½ ∧ e¾ 3 ∨ 2e½ ∧ ¬e¾ 3 . e½ ⊕ e¾ . a


    

e½ t pppppppppp 4
e¾ t
≥
a
4
pppppppppp
.

 
5 




   
 
  
!   %

 e½ ! e¾
 e¿  ! 
  
 

6 
+ 
      


     ! 

  
 


  
    
 
       e½     

    

       e¿      
  
  

     

     

 
  e½ e¾ e¿ a
     
     
    
     
!     
"     
#     
$     

%    

  
 &  ' ( )&
&*

a + fe½ , e¾ , e¿  + ¬e½ ∧ ¬e¾ ∧ ¬e¿  ∨ ¬e½ ∧ ¬e¾ ∧ e¿  ∨ ¬e½ ∧ e¾ ∧ ¬e¿ 

,  -   .-/, &&    %


 &  0  12
 3
 
     4&

a + f e½ , e¾ , e¿  + ¬e½ ∧ ¬e¾  ∨ ¬e½ ∧ ¬e¿ 

, %
      5
  4  & 6
 ( 7

e½ t pppppppppp 8
e¾ ppppppppppp
≥
e¿ a
pppppppppp 8
pp p
.pppp pppp

%
  * 5
 & 6
 ( 7

2.2.1 Halbaddierer
%  
   9 
    
 &    ,  
4& :     : 
  

  
 &&   )& 6
 /
 ;
< &&    &
  =    -

 & 7  & -

   
         &  =  

 &
  4

  


 
    

 *
e½ e¾ e½ > e¾
  
  
  
  
 






   e½   e¾ 

        
 
 
     
 
 

    


  
     
  
S 
     
  
 
C 

            
   !           S " e½ ⊕ e¾   C " e½ ∧ e¾ 

   
    !#$  
%
     
 #$  %

       & "'   



    
  

  

 

e½ t "'


e¾ t

(
)

 
***+ ,  

2.2.2 Volladdierer
-        /        0   e½.i
    . 
         e¾.i 
 i " ', *, . . . , n       /    ,  
    
 .  i " ' 
     .         

  .    0         
    
      

   
  i
  
    
  Ci−½ i " *, 1, . . . , n 
   

-  ,    
 2   
       
   Si  

  
Ci   i  
    

½.i ¾.i )i−½ )i i


3 3 3 3 3
3 3 ' 3 '
3 ' 3 3 '
3 ' ' ' 3
' 3 3 3 '
' 3 ' ' 3
' ' 3 ' 3
' ' ' ' '

    !          

Si " ¬e½.i ∧ ¬e¾.i ∧ Ci−½  ∨ ¬e½.i ∧ e¾.i ∧ ¬Ci−½  ∨


∨ e½.i ∧ ¬e¾.i ∧ ¬Ci−½  ∨ e½.i ∧ e¾.i ∧ Ci−½ 

 

Ci " ¬e½.i ∧e¾.i ∧ Ci−½  ∨ e½.i ∧ ¬e¾.i ∧ Ci−½  ∨


∨ e½.i ∧ e¾.i ∧ ¬Ci−½  ∨ e½.i ∧ e¾.i ∧ Ci−½ .
  
 


 
  

  
 

 
  

 
           
  
    
 S     
  
 S   
 !       "     !  
    # 

i i

 

S $ e ⊕e ⊕C i .i .i i−

   # %           " 



  & 
 '

  
C $ (e ∧ e ) ∨ (C ∧ (e ⊕ e )).
i .i .i i− .i .i

# *  
  & 
    ( 
 
)  
 

++,  
e.i
r $! r $!
e.i r Si
Ci− r

- ≥ !
- Ci

 
 ++,. / 

 
 ++,     " 
  
 /  
   
     0   
  "         
#  
 
        
 ??
 
 
   
      &  e ⊕ e ⊕ C   e ⊕ e ⊕ C (  )   e ⊕ e ⊕
C  1
 / 
   
     0      
.i .i i− .i .i i− .i .i

 "   


i−

1
 "
  %       & 
   
   &       
      
  " 

 
 

  #  
           
    '  
   #  
        

 
   
  
ppp ppp ppp ppp
p   p
pppp  pppp  pppp 
ppp ppp
 

 
 ++2. /  (
 ) 3    (  )
#       
   
  (   
 ++4)
 






e1.n e1.3 e1.2 e1.1 Binärzahl 1


e2.n e2.3 e2.2 e2.1 Binärzahl 2

Cn Cn−1 C3 C2 C1
FA FA FA HA

Sn S3 S2 S1 Summe

  
  

               
                
       !     "   n − #  
     S $ B½ % B¾  B½  B¾    &   #   
  
  '(   ) n $ *   
B½ B¾
pp p p pp p p ppp pp p p pp p p p pp
     
ppp ppp ppp ppp ppp ppp ppp ppp
pppp 
   
pp p pp p  pp p  pp p 
pp p p pp p p pp


  + &   *!!   

    !  ,(        
           -   .  / 
     &          
  .        
    0    
   
 1  
  
   2   &         &  1 

2 !
            

2.2.3 Codierer
  
    


    3   n     ei 1i $ ", #, . . . , n − #2 
   m $  1n2     & aj 1j $ ", #, . . . , m − #2  
4  &       n   . 1   #2   
   3  &      ei    &    
  aj 
   )     )   3  n $ 5  
 ( m $ 6   
 
  

e e e e e e e e a a a

 
 
  
 
  
  
   

  
        
     

a   e ∨ e ∨ e ∨ e
a   e ∨ e ∨ e ∨ e
a   e ∨ e ∨ e ∨ e

        


        !    "
  
    #$
      $     " % & !$ e 
e       
 'a a a (  '(  
  ')( $  '*(   + "
        &     $         
    $    "    $        
     e  
,
$   -"
. /    


  
   #$
    
        
    % 0  $1  " .   . +
'e   e   2!(     e $"     V     
  $
      $      
  $ 
 ' "   (  "
 3,    $   4 $    4 

  
,
 
   
  !$ 4           ' 3,    ("
,

e e e e a a V

 
 3  
 3 3  
 3 3 3   

5$     $  & 2$ !       6/     


             7       +    
   
 +   "  
 
!       
   
  $   2$  $   
  
 8  " %    +   7
 4 
 2      +   $ 9:.  ; 
  
3, ;    " % 6/     &

  $   +" 5
,
 $   4    
  /    & 2$
2" %   &
    a $   6/ "
 
    
 






¬ e2 e2 ¬ e2

¬ e0 1 1 0 0
¬ e1
1 1 0 0
e0
1 1 0 1 e1
¬ e0 1 1 0 1

e3 ¬ e3

  
   
            
a¼  e ∧ ¬e ! ∨ e
a  e ∨ e
V  e ∨ e ∨ e ∨ e

 "#   $   %  &  
' (   (   $  )   *     
   
pp  )  * p pp
   ppp p

p
 p pp   p pp
r
r
r 
pp p 

  ) %  ) ' *!+$

2.2.4 Decodierer
  
  ,  - ' $ ,   "  n (   ai
i  ., /, . . . , n − /! ' (    n!     
   /(   %'(    (   0   
   !+ 
      1
e e e a a a a a a a a
. . . . . . . . . . /
. . / . . . . . . / .
. / . . . . . . / . .
. / / . . . . / . . .
/ . . . . . / . . . .
/ . / . . / . . . . .
/ / . . / . . . . . .
/ / / / . . . . . . .
 


 

       

     
          

 
  
  
     
      
 !         
  E    "   #  E $ %     &  
 %
E e½ e¼ a¿ a¾ a½ a¼
% ' ' % % % %
( % % % % % (
( % ( % % ( %
( ( % % ( % %
( ( ( ( % % %

&   ))*     +


      
  

pp
, 
-  ppp

p 

 p pp 
ppp ppp p
  
r
r
 r
 ppp

&   ))*. +
    ",  -# 


2.2.5 Multiplexer
       

  

 
  


 /  0      1


       m     ei "i $ %
( . . . m − (# 2    3     "Sn−½ . . . S½S¼#¾   
    Sj "j $ %, (, . . . , n − (#       

  
  &    &  +             
    "   
      &  .  
  # 
¼ s  pppppp

½ s  ppppppp
s ½ ¼ 
s

  ¼
s   ½
½ .....
......     ¾
s   ¿


¿

&   ),%. "4  (# /  0


 






   

     
 S S       
 


        

     
  
 
 

½ ¼ ¾

!   "# $ e  


%
%   &'     (# #  

  %      

 )
! 
   *   $! e
¾

i  , , +, , 
  
   %- % 
i

& .   $!


#   (# # 
$
e  
 
  %   / #% 
% )   %   $
-# #
¼

S S    0 1   #  "  !     *  E 
 !  
%    %   -  
 !  $ %
%   
½ ¼

(
E #
%     %  
     . 
# "

  %

# 
 2  !#%
 " $!  " 
! %
%   

3#  #  
!#  ! (# #  !   

%  
! 
  -!# .   
   "
% +   405
   - #
  %
   !#  e #  e 
 
! a i  , , +, , %
%    6
% % 
- #
 S -
½.i ¾.i



   

#
% 
  
 ("  7    - 
 7
i

 % % #   


 
  "  $ -#   
%  4  8
    %   $
e1.0 &
1 a0
e1.1 &
1 a1
e1.2 &
1 a2
e1.3 &
1 a3
e2.0 &

e2.1 &
E S ai
e2.2 & 0 X alle 0
1 0 e1.i
e2.3 & 1 1 e2.i
S 1 1
i = 0, 1, 2, 3
E

  +,9 :    +  4  8


   +,   
   %  
"% +  
4  8

' 
#
% % !    %     +,  $ 
 #

  !     / ; 0  


   -!# 0 / #%
  "#
%       $ -#  
   
! %
("  "#
 *  !2 

 

%  ! %-
% 
  
   !# .#%-
%      +,+  . :   
 +  4  8 <


# #    S 
 %   *  
$   
! 
 0
   % "  %  


    !
#   =# ##%-          
#% .#%- 

 
        .%
 
  

 


 
  
pp p 

 ½º¼ 
 pppp ¼
pp  ¾º¼ 
pp p 
 ½º½  ½
p ¾º½ 
pppp 
½º¾ 
 ¾
pp p ¾º¾ 

½º¿  ¿
¾º¿ 

     
        

 
            

2.2.6 Demultiplexer
 !     "#    $# Sii %
&, , . . . , n − ' ( )  ajj % &, , . . . , n −   *(     + e
 ) + ,     

   



+ + + #     )'   -   '
  , S½S¼ % &&  $ . + e    a¼ 
)'  # /
!    !         (
e
& a0
1
S0
& a1

1 & a2
S1

& a3

 

  0! 

2.3 Sequenzielle Logik


   ) ( $   +  1 2  .   +#
   # '     #     2 0
# (   (  )  (  "(     
  ( 
 3  -   1  .  4 ' *(       2 
 # '      #  !   2    4(# 
!(# #     
   !   $  !'  
  

  

  



 
   
    





    
      

   
     

   
    


   
   
! !
"  #            

       




    
  
   !  

       
 
      $   
!       
 

  %  
   & '

 
     
(
         )       *  

 

       +  !
  & 

 

     
, 
   
        
 

"           
 

     & 
 
  -./ 
   0! 
   



   !
 
 
 

log. 1
log. 0
t

  -./1 2& 


 

2.3.1 Latches

 
  
   

 

    

  !


     
  #  
  0  
!       
3  #  

 
  4   #    
  3!3!
6  #  
6
5 5
 
    7    !     0  
3!3!
 

  
#  
   0 
 (     
    
 
 0  #      8
    
    
8 
 0   
  
!     
  
 3 

      R  S
         
 
  
         !   
  !   
 
  

 
 
 9 )   *  
 : )  * 

 
    !   9  : 
;#     
<=;>  
  
     R  S 
 Q  Q  Q   

!     Q    0  
  0   !   
 
     8 
   ?
      


   
 =! 
 
 @    
 

  $ 


  3    A 
 0   -.B 
    
;#   

      <=;>  
 
 
  

......
Ê ..... ½ pp ppppp s É
............. . ..............
....................
.................................................
........ .....................
............. .............
............. .
......
..... ½ ppppppp s É
Ë

 

         ! "  
!# $  #$  $     
%    Q  %  Q  &  ' ( )   !   * $!#
!  '!## )       +! 
  $ ' %) ,     #  Q  -  
 ./ *   Q  % !     .   +!
  ./ * Q    &  "
......
Ê ..... ½ ppppppp s É
qqqqqqqqqqqqqqqqq
qqqqqqq .................................
...........q.q.qqqqqqqqqqqqqqq
.............
.............
qqqqqqqq
......
..... ½ p pp
p ppp s É
Ë


0  +# R 1   S 1 %   2   "!
 3 R 1 %  S 1     Q      &  + 
./ * "   Q 1 % ' ( )   & #  
' )     4  + S   $ $!#
!  S 1 %  R 1 %    2    "! 
  !## " Q  $" # 56  '(
)
...... ......
Ê .... ½ ppppppp s Ê .... ½ ppppppp s
É É
qqqqqqqqqqqqqq qqqqqqqqqqqqqq
qqqqqqqqqqqqqqqqq qqqqqqqqqqqqqqqqq
............. .............
............. .............

qqqqqqqqqqqqqqqqq qqqqqqqqqqqqqqqqq
............. .............
............. .............
............. .............
......... .........

..... .....
...... ½ ppppppp s É
...... ½ ppppppp s É
Ë Ë
 

+   +# " S 1 %  R 1    2   
     & Q 1 % Q 1  '( 7) &   
 $ '( )
Ê ......
..... ½ pp ppppp s É
qqqqqqqqqqqqqqqqq
qqqqqqq ..................................
...........q..qqqqqqqqqqqqqqqq
.............
.............
qqqqqqqq
......
..... ½ ppp
p ppp s É
Ë

  

  

   

  R   S 


  
  
 
 


 
 
  
 
    Q   Q 
   

!  
  
 
      
  " ¬"
    
   
    
   
   
 



     # $
 

   %
  
  &  
'       
(   & (
 $  )   $ 

 
 * 
 C +   , 
    
  (
% )   
   (    $     
 # $
 - 
 

%
   
 $ 
       
 +

 ./0, 
  

 
   
 1 1   
 123 $    
 4 
 
 
 3
) 
 
 * 
 C 
 $ 
S &
&
Q

C
&
& Q
R


 ./05  
 * 
 6
  
 )   

  - 
   * 
 C  
 
- 
  R   S )
(  $  )  7
C 8   

  
 # $
 - R   S    

      ) 
   
 %
 
 

 $ 
   
  


  R   S 
    
 
    
 $  
35
6   1 (  - "
 9 9 *
   
   *
   
   "8: 
   "8: 
   1
 


1  * 


  (
% ) 
   
 # $

 %

    
   
 
 
 (    
) (
% )    
 

    -
(  
  
 
  R 8 S 8      
 
-     
 

  D %
    


  1      
 1 1 3 
   

 
 
 R   S
  &  
 
-
 
 $ 
 
 

 
  

    


   
 C  
    
 
 
    
 D   
 
D &
&
Q C D Nächster Zustand von Q

C 0 X Keine Änderung
1 0 Q=0; Reset−Status
& 1 1 Q=1; Set−Status
& Q
1

    !"


pp pp
pp pp

   

ppppppppppp
pp pppppppppppppppppppp

 
  

   
   # $   %


&
 
    '
 
(  
(
   
    

  
  
( 
  C    )   '
    *
 

 + 
, 
 (
  %
 D  ' +  % " 

  

( 
   
 +  -+  * .
 )   * )

 ./ 

% &
   $ 
 
  
     
 + 
 &  '  * .
 )    

     * )
 . 

  *-   #/    0
  
   
 + 
+  1      
 
 (  
  
 +  
( +    & 
  
    , 
   & 
         #
' +   
(    *      * "
  %  + 

 ,          
   -   1   
 
$ 
 ( 
  
* 0
 
/

S Q D Q D Q

R Q Q Q

RS−Latch D−Latch D−Flip−Flop mit


Trigger−Eingang

   2 & 
     "

  

  

  


    
  
   R  S  

   
       
     
     


  
 
       !   "#  $
•     
   % 
 
        
 
•   &            

  '%'%    

 ' &    Q    
   
 Q
     & 

 & 

  
 % 

!        &&%% 
(   !)*  
  
+

&
S Q
J
K & R Q

(1) JK−Latch

J Q
J Q
K Q
K Q
(3) JK−Latch mit
(2) JK−Latch
Trigger−Eingang

!
 ,-.$ "# 

         


   J 
K 
 /  
Q 
  

 0  
Q 
   
 1%   0% & t  +
t−½

 2  



t

" # Qt−½ Qt
. . . .
. .  
.  . .
.   .
 . . 
 .  
  . 
   .
/ 
 3 

  
 0 
    
  J  K     
 !   Q  "#    (
 ' &
 
 4&5 
 0  
 6  % 
        


        
  
 


2.3.2 Register
 
 
    
n 
   
 
    

 
    
       
  ! 
"

#     
   
  
 # n $ 
#    "
%
  &    #
 
  # 


    
 
&   
  
      
 #    '  (
  # 
  
   " )    
   
  *& #   +  
     
    
 

a3 a2 a1 a0

D D D D

R R R R
Clear
Clock
e3 e2 e1 e0

%  ,(-. ( )




  
 /  
  
$ 
#       
 
0    #  
n 
   

 #  
   $ 
n 0 
    
)
# 
     $  (
 & # 
 %  ,(- 
  0

 

 $ 
#      0  

    
%  " 
 1
    $ 
&  & 
)

 2 
  0  
 
    

 
  +   
    

    

Clock
&
1 D a0
e0 &

&
1 D a1
e1 &

&
1 D a2
e2 &

&
1 D a3
e3 &

1 1
Load

%  ,(,. ( )



  

  

 
 
            

        
      


   
   



 
         
             

!
!  "      #  $ !  "  %   
 
        !
 
 &   " 
!     
    '   ()(               
      $*        +        % 
 ,  ! +   !         
  - !   ) .              

Clear Load
C C
D D

4 Bit Register 4 Bit Register mit


parallelem Laden

'   ()/0     % ) .   

'             !       1 


         !
!    2        %    
,       +      

Schieberegister

         .       
         
    .              
+     
        #       
  +       3         4     
     


     5    %    ,!  5 

    '           ' !     

   
%    6     
      2         
  .     !   &   %     
 '   ()7 !   !  % 2  . !      & !     
   !    '            
      .     ' ! R¼  R¿  6    &      !8 $
  +   '    3    %  !   
  !9  
:  '   %    2     -  .  % ! 
   
  
  

serial input D D D D serial output


C C C C

clock
(1) logische Schaltung

serial input D SRG 4


serial output
clock C

(2) Blockschaltbild

 


  


        


       
  !"     #     $
% &   '  ( % )   % * +  
     ,% 
     

 #
-    .  
¼ ½ 

 

  SRG 4 
pppppppp
q 
pppppppp
q 
pppppppp
q 
pppppppp
q
pp p pp ppp pp pp pp pp
pppppp pppppp ........ ........ ........ ........ .... pp p ppp
p p pp pp p p
pppppppp
pp q q q
  
 q


 


   
.....

......
. 

 
 .
....

......
. 
 .....

qqqqq qqqqq qqqqqq qqqqq


......
. 
   


 


 
  0/ 

$ "%   "/  & T 1 )*))  R 1 **)*  +  
   % /%  +"%%2  #%  !"  
    & %    ,     
  

  

   
   
 
  
 

  

 
R¼ R½ R R


       
         
         
         
         
 
 

 


 

 
 !" 
   
  
 

  

 

 #
 
 
$  %
 

&

 '



%
S  , S
( 

  
i ei
( 
  
i ai
  "$   "
  )*+ ,


-,   %

 
. 
 
  


$




/

 
 
  


,
 
 
 
........


 t..........a  tai−½
..........
pppppppppp i−½ pppppppp
 
.......
 
pp 
 ... ........
p ....
pp ... 
   pp p ...
..
pp  .... 4 to 1
MUX
...p... 
  ppp ............
....
..........
.......  
pppp .........ppppppppp
...
ppppppppp 
.....
   t..........a ....
. 
.....
t ai
ppp t pppppppppp
ppp
ppp
 i


 t ppppppppp 
  ei .......... ppp 
p
 
................................. ........

.......... .......... 

    
 
 ..........
....... t...........
ai·½  t tai·½
t pppppppppp pppppppp
  
pp 
 


..........

  )*+'  




 

  0 
   0  0
 0

# 
 

!"  


 


%
S  S $    

 '
   
   

S S
  1

% 

   
 
      
  

  
 


  
              
                 
                 
!   "               #   

 $   % &       

clear R
0 S
1
clock C

serial in D
e D a
D
D
serial out

  '()*    & 

2.3.3 Zähler

  
  

  

  


          
  


   




 !

"#$


 + 
 ,      -%% .
   #   / 

      -     #    ! %
     #   .     /  
  0     1   n  'n 0     
  .     
         # 
.    .    2         
0          
  + 
 
 , 
    # %%  /    
3 . #   
     % 
       4 5   6 

          
   
 
0    3
         . #
  

  

      7     
 
  #    


  + 

  
  
 
 ,  &    $ #     8 % 
$   9  :        0   
 
 9 #       
  

  

a0 a1 a2 a3
L0 L1 L2 L3
J J J J
clock C C C C
K K K K
R R R R
clear
log. 1

 

   

       ! " # $    
 #$     ai i % &, . . . , '  &  " ( 
 )"* (  + C    L&   "  ( 
 "$    a¼  ""  ,  - .   /  
 L& #$  a¼ # /  - (  & .  #"  
(   L- $ #   a½ (  -   / 
 #  , #     " a¿a¾a½a¼ $  #
  0 &&&&¾$ &&&-¾  &&-&¾ . 0"" *  " "
."  1   #
clock
a0
a1
a2
a3

t1 t2 t3 t

 
2 " ." 
 

, " " " ."   0*  ($   t½  0
( &&&&¾ % &½¼$  t¾ ( &-&&¾ % 
½¼   t¿ ( &-&-¾ % 3½¼ +  
" #$   0   0 / &&&&¾ % &½¼  ----¾ % -3½¼
( 4 " 0 ----¾ ( # &&&&¾   +#  !
 ( n   "   &  n − - ( #
. # 4   0  $  5 "  
 #     # . ""  (  0  0#
#  " 0 &---¾  *# " "  )"* -&&&¾
 #    6   ( 0# 
.   /   " + #    L& /  - ( 
&    7(  (  L-  "  0
&--&¾ .  !    !(   (( ,
&-&&¾$ &&&&¾ " $      + -&&&¾  . 0
  
 


   
            
      

     
     
             
         !  "        #

 $    % 
  &
 '
           (#
      )        
 (    *
  +
, -
  
 
 '    '-    ' 
  
 '   
 !  .   

    

 '
   
        

 
  %  #

   & /   01#*
 
       
  #
 '  
  
2   
3   
 $     
  0  1
  

  -
 '
    
   4 '  i   
    Ki 
Ji i  2, 3, . . . , n − 3%       
   4   
 " 
an−½ an− . . . a a %  
     an− an− . . . a a %      
.      %        4 "    
Ki  Ji       4  *
 
   ai   5    
 
       an− . . . a a %  
 4  &          (
 *
   
      

  5      $ #) #'-



     6  #
 
     %     
   $     
    )    *
  5  a 
  "  
 
   
J  K   
3 / 

 '
      .
 )
    2222%  3333%   
  "    !

)
  
 a a a a  a a a a
2 2 2 2 2 6 3 2 2 2
3 2 2 2 3 = 3 2 2 3
7 2 2 3 2 32 3 2 3 2
8 2 2 3 3 33 3 2 3 3
9 2 3 2 2 37 3 3 2 2
: 2 3 2 3 38 3 3 2 3
; 2 3 3 2 39 3 3 3 2
< 2 3 3 3 3: 3 3 3 3

5  .        '       


  
    
3       
J  K  a      ' 
    
  $     
 J  K  a ∧ a % 
   
3    
   '   
 
 >      

J  K  3
J  K  a
J  K  a ∧ a
J  K  a ∧ a ∧ a

 '
         /    5   '
  
 
'    
 





 
 
 

 a¼ a½ a¾ a¿

s
s
s J Q s J Q s s J Q s s J Q
ppppppppppp C ppppppppppp C ppppppppppp C ppppppppppp C
K K K K

 s s s

 

          !   !
"     "     #$   
 %   &' !       
( '   )  $ * ) $  '$$% 
     $   +  %  $   ,!  -
'%   * $ $  .  '   ,

- " ( ,/// . . . /-¾ ! , . . . -¾  $' " (!   *
0'1 $ %  $ $$    $$  ! .
 2 !     $  ! % '   (
 % $     ,  .  3$4&'-
 
5    !  !    %      !  
 ,    - ( !  .    6 *'$
 

2.4 Signalverarbeitende elektronische Schaltungen


.    $  $    !%  7
( $ (  &'  *%     !   ! 
 .    !   (  '
  $ * 4*('%   $ !  

2.4.1 Operationsverstärker

  
 
 
    
       
  
  
 

       !
 "   #  $  %
  &   ! '##"
!$
( $  
  $ )  
 !#
!#
    !%
  


8         ' 9  9 4*
('   4*(' ,    % 
'  -    :('%  *  
  
 


   
   

           
           vu   ...    
 
 ! 
 
 "      # $          %    



   
 "    &     
 " 
' '
 ('  $
   '
 &
 
   "
"
   # $     
 %     
 
 # '
)   
 
 *+ 
% 
   

  (++
 , -    $ +

 (
          
  
 


...........

R½ i ≈ ¼ pp ppp ppp ppp ppp ppp pp p



s ppp p pp pp ppp p
............ ..........
..
..........
.. pp ppp ppp ppp p
pp pp ppp ppp pp
p pp p p p s
pp ppppppp
............
uD
p p p p p p p p p pp ppppp p
p p
p
pppppppppp
.....

p ppppppppp
...
ue
pppppppppp ua

.. . .. .
..... .....
............ s .............

ue ua ua R
uD ≈ . i ≈ −i . ≈ − . vu  ≈−
R R ue R

(++
 , -/ 0  
  
     
     

(' +    1


 /     
 
     
 1
 1   '
 1    +   2   
'   

 1"    '    ( 
"   
+ '
   
 1   ( 
 1  


$    (++
 , -,   ' /


...........

pp ppp ppp ppp p


pp ppp pp ppp pp
............ p ppp ppp ppp pp
p pp ppp ppp ppp
ppp ppp ppp ppp
ppp pp s ............
uD ≈ ¼
p p p p p p p p p p p pppppppp
.....
≈ ¼ p p p p p p p p p pp
pppppp
...
s i
pp pppp pppp
..........
.

pppppp pppp
ue ua

.. . .. .
..... .....
............. s ............

ua ue ua R 3 R
i ≈ . uD ≈ . ≈ . vu  ≈
R 3 R R ue R

(++
 , -,/ 2   
  
 
 





 
 
 

  
    
  



   
  
   

       


   uD 
 



    
 



   
   
R¾   R½


 !
   



  

  ! 

 "
 #
R½ % R¾
vu $
ua

ue R

  

  
&  vU ≈ R % R/R '
   
 


    



 & 

   
 
    

 
  
 
 
 (
 
      

 & 
 '
    

   


  
& 
  
()*

  (

 *

  ' '
 +,  )

 '

2.4.2 Komparatoren
 
       
        
 


 

    

! "

  # 


Komparatoren für analoge Signale

-  
!&   
 
    
  

.!
!


 

 
   

 "
  
 




   


   



 
& 
 
/
!

  '    0'12 
   



 - 
 


 

 
 
&
  
  & 
!& 





   ue  

/
!

   U   
   
     ua'
    
  
 

 & 
 &    
  
   ue & 
 
 

  
/
!

   U '
pp p p pp p
pp p pp p p
pp p pp p p
p p pp p p p
p p pp p pp
.....
...... ..... p p pp p pp
.. p pp p p pp
p pp p pp p
pp p p pp p
pp p pp
p pp p pp p
...
..... ......

p pp p p pp
....

p p p p p p
pp
p p pp p p
p pp p pp p
.....
..... .....
p p p p p p p p p p pp
pp p p pp
...

p pp p pp p
p pp p pp p
... .. ... .. ... ..
......
.
ue ......
.
uRef ......
.
ua

   0'12#   



 -   !&   
 






  
Uamax !&
 ue > U
ua $
Uamin !&
 ue < U

 



'    0'13 
 





 -   !& 
4



 
& 

/
!

   ut'
  
 


ue ´tµ, uRef ´tµ


.
.....
... ...
ue ´tµ¸
p p p p pp p pp ppp pp pp p pp
p p p p p p pp p p p p pp p pp p pp p p pppp p pp p
pppp ppp ppp p .................u ´.....t.....µ...........................................p....p..p.p..p..........................................p....p.p..p.p..p....................................................................
p . pp
p
........p..p..p................................................... ..pppp
Ref
p
pppp ... pp p p
...
. ..
...
...
. ..
.... ... ..
. ...... .... ... . .
p .. pp
..
ppp .... .. p p pp p ... pp pp
..
p pp p p p p ..
pp pp ..........
....... Ø
p p pp p p p p pp p p pp
pppp p p p p pppp pp p
p p p pp
p pp p p p p p p pp p p p p p p p p p p p p pppp p pppp
p ppp pp pppp

ua ´tµ
..
......
.. ..

ppp ppp
ua,max pp ppp
ppp ppp
pppp ppp
ppp
pp
...........
ppp ....... Ø
ppp ppp
ppp ppp
ua,min pppppp pppp ppppppppppppppppppppppppppppppppppppppppppppppp

 
         
 u  t!

Komparatoren für digitale Signale

"  # $ % &    '   '
 $  & (  )     # $  
       *  $

x≡y+z

  ,   & x  y $  -   
.  / 0

x y z
1 1 2
1 2 1
2 1 1
2 2 2

   z + x ∧ y! ∨ ¬x ∧ ¬y!  

    34



Ü .......... r
²

Ý .......... r

≥½ ..........
Þ
pppppppppppp
²
ppppppppppp

 

3     


 





 
 
 

2.4.3 Torschaltungen
 
     
  
   
      
       
  
 
      


  !     
   
 
    
  
  
 "     
 # 
    

Torschaltungen für analoge Signale

$ 
 %
  &       
"

   
#
  
 
  ' &%
         %
  
 ue"t#   

  

 ua"t# 
    ()*      
 


.........
 .........

ue"t# ua t
.........
... .. ... .. ... ..
...... ...... ......
 . . Ct .

ue."t#
.
......
... .. ... ...
. .
pppp ... ... .

pp ppp pp p p p p pp
.
... ...
pp ppppp p pp p p pppppp ..... pp pp ppppp
. .
.

ppp p p p p p p p
p pppppp p p p p ppp p
p p pp p...
p
... ...
p p p p p ppp ppp
p ppp p pppp pp ppp pp p p ... p p p p p pp p p p p ppp
..........
....... 
 pppp pp ppp p p p p p pp pp ...
p pp ppp pp . .
ppp
p pp p p pp ppp pp ...
.
...
.
ppp ppp
p p pp ...
.
...
...
. p ...
. .
... ...
 .
...
.
...
. .
... ...
. .

uC"t#
... ...
. .
... ...
. .
... ... ...
...... . .
.. .. ... ...
. .
... .
. ..
... ...
. .
... ...
. .
p p p p p pp p p p p p p p p p p p p p p p p p p p p p p p p p p p p p pp p p p p p p p p p p p p p p p p p p p p p ...
.
...
...
.
...
pppppppppppppppppppppppppppppppppppppppppppp ..........
....... 
. .
  t ½.... t ¾...
... ...
. .

ua"t#
... ...
. .
... ...
. .
... ... ...
...... . .
.. .. ... ...
. .
...
ppp ...
pp ppp
. .
... ...
.
pp pp ppp p p p p .

pp p ppppp pp p p p p ppp
... ...
. .

ppp pp pp
... ... ..........
.
...
.
... ....... 

.
... ppp pp .
...
. ppp pp .
...
pp p p ...



    

   ()*+ 
,  
 
 "
#         %
 &
-
  ue"t# "#  -
 
 ' &%
 uC"t# "#  
 -
  ua"t#
"#

Torschaltungen für digitale Signale

! 
 
   
  
 %
  
 .

  & 
   
   
  
        
 ' & 

    

     
  - % 
 
   
  
  
 
  
 
 
  !&/ -   
 /

  x   ' & 


  
  

2.4.4 Schmitt-Trigger
 

   

             

UHST 



      
   
      


!
   
 #" 

      uet  
   

   USO   
  
   "
 $
 % 


       
   USU   USO > USU     

    
 "
 $
 
&
 
   
 !
           ' 
UL% USO  UH% USU    #
 !" 
       "    

#" 

    uaue 
  $     
!  
  &


 
   & (
") 
* 
  * 
 UHST
 
#" 

    uaue    
 %   
" 

UHST + USO − USU


&
 
   * 
     !       
 ,  

        


 
     
 - 
 
 
 
     

    * 
  

.    "
   /


    0          


.. ...
ue t ...... ue t ....

pp p p p ppp p p p pp ppp p p pp
pp pppp ppp ..... ..... ..... ..... .p.p..p..ppp.p...p.ppp.p.p..p.p ppp pppp
US¼ pp
..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ...... ..... ..... .....
p pp.p..p.p..pqp ..... ..... ..p.p..p p........ ..... ..... p p p p pp p ppppp p p p
p p p ..... ..... ..... ..... ..... ..... ..... ..... ..p.p.... ..... ..... ..... .p.p.p.. ..... ..... ..... ..... ..... ..... ..... ....p..pp ..
p p
.
pp p .. p p
p p p p p p p p p p p pp pp p p p p p p
..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ...... ..... ..... .....
... p p p p p p
.. ... US¼ p.. p..
USU .
p p
ppp p p p p
pp pp pp p p p p p p p p p ......
p p p pppp p p
... .
. ..
pppp p p p p p pp pp ...
... . .
...
. . ...
. ppp pp ...
pp pp .....
... .. .. ... .
. ...
... ... ... .
pp p p
pp
. . . ... ...

p p p p p pp
. .
...
. ppp ...
.
..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... .....qpp ..... ..... ..... p ..... ..... ..... ..... .
USU p p pp p pp p p p p p p p ppp
p
.......... ..........
. .
¼  ¼ 
.... ...
.... ....
ua t ua t

UH pppppppppppppppppppppppppp pppppppppppp UH ppppppppppppppppppppppppppppppppp

ppppppp pppppppppppppp ..........


. UL ppppppppppppppppppppppppppppppppppppppppppp ppp ..........
.
¼  ¼ 

 123, -  



 4  !

!
USU+
    USO+
  

&  


               


    
 5  
  &
    USO  USU  6) 
    

 
 "   !"
      
 

     #" 


   "         


 
#      
   
     "
  

!    *
 
  
   
               
        
&      "   
 !     7
   
  
         uet         
!" & 

 

  4  !

! 
 





 
 
 

Invertierender Schmitt-Trigger

 
 
 
  
    
    

  
    
 R½ R¾      !   ! 
"    #    
 $
   


 ua


 !  %  Ua    #

 ue  #
  
& 
 '   


   


 ua !  %  Ua  


 #

 ue  

   & 


 

p p p pp p p
p p pp p pp
p pp p p pp
.......... p pp p pp p
pp p pp p p
pppp p p r ..........

p p p p p p p p pp p pp
r p p p p p p p
pp p pp p p
u e t pp p pp p p u a t

.... ....
... ...

  ( )"      

#  


  *
 
  

R
#
( Ueein +
R , R
· Ua 
R


( Ueaus +
R , R
· Ua 
R
*
 
( UHST + R , R
· -Ua  − Ua  .

     / &  0   


 
"     
 

Ua .......

p p ppp pppp p ppp pp Ua, 

pppp
Ue
..........

Ue,  Ue, 


pppp

ppp pp p pppp pppp p


Ua, 

  /( 0   




 1
 

!  #

    23   



 

"      


    
 Ueein  Ueaus 
 
  

ppp
pp
u .
..... ppp
... ... uet pp
uat pp
pp
pp
pp pp p ppppppp
pp
ppp pp p pppppppp pp pp pp pp ppppp
p pp p p p p p ppppp
p pp pp pp pp ppppp
p pp p p p p p ppppp
p pp p p p ppp ppppp
p ppp
p pp p p Upppeaus ..... ....p.pp ..... ..... ...p.p.p ..... ..... ..... ..... ..p..p.p ..... ..... .p..p.p.p ..... ..... ..... ..... .p..p..p ..... ..... .p.p..p. ..... ..... ..... ..... p..p.p.. ..... ..... pp..p.p. pp pp p pp
pp ppp pp p pp p pp p p p pp p ..........p pp ppp pp
pp ppp pp ppp ....p.p pp..... ..... ..... ..... .p.p..p.pp ..... ..... ...p.p.pp ..... ..... ..... ..... p.p.p..p.p ..... ..... .p..p..pp ..... ..... ..... ..... pp..p.p.. ..... ..... p..p..p.p ..... ..... .................ppppp pp p pp p pp
pp p pp p p pp p p
p ppp p ppp pppp p p pp p ppp pppp pppp p pp p ppp pppp p p pppp pp p
p pp p pp pppp Ueein p p pp p p p p p pp p pp p pp p p p p p p p ppp p pp pp p p p pp p pp pp
pp
pp
pp p
pp
pp
pp
ppp


  

   

 

 
uet     


 

 
uat        



Nicht-invertierender Schmitt-Trigger

!
  


 uet    
  R½ "R¾    #    
     

 $ %      

 &  
#'      
(         



.....
.... i¾ pp p pp p p
p p pp p pp
R½ p pp p pp p
i s
........... ½
pp p pp p p
............. .. p p pp p pp
p pp p pp p
pp p pp p p
p s
p p pp pp p
..............

p p p p p p pp p pp
uD ....
....
p p p p p pp
p p pp ua t
pp p pp p p
p pp p pp p
pp p p pp
..... .....
ue t .... ....


( &       



     
 %  )*  
  


  
 Ueein + − · Ua 
R
R
 
 Ueaus + − · Ua 
R
 
R
)*  UHST + · Ua  − Ua  
R



 %


,
 - 

           


 





 
 
 

...
Ua ....

Ua, 
pp p pp p pp pp pp p pp

pppp
Ue
.........

Ue,
ppp e,
U 

p p ppp ppp pp ppp pp


Ua, 

 
 

        


  
   !     Ueein  Ueaus


pp
pp
pp
u .
.....
... ... ue t "# ua t "# pp
pp
p
pp
ppp pppp p pp pp ppp p p p pppppp ppp p p p pppppp ppp pp p pp pppp ppp p pp pp pppp ppp pp p pp pppp ppp p pp pp pppp pp p
p pp p pp U ppp p p p p p p p p p pp p p
pp
pp ppp pp pppeein ..... .p.p..p.p ..... ..... ...p.p.ppp..... ..... ..... ..... p.p..p.p. ..... ..... ..p.p..ppp ..... ..... ..... .....pp..p.p.. ..... ..... p..p.p.p.p ..... ..... ..... .....pp p..p... ..... ...........p..p.p.p.p pp
pp ppp pp
pp
pp ppp
pp
p
pp p pp p
p pp p p
ppp pp pp ppp
p
Ueaus
ppp
ppp p p p pp p
p p ppp
ppp p p p ppp
p p ppp
ppp p pp p
p p
pppp p pp p ppp
p p
ppp ..p.p.. ..... ..... ..... ..... .....ppp ..... ..... .p.p... ..... ..... ..... ..... ....p.pp ..... ..... p.p.... ..... ..... ..... ..... ..p..p.pp ..... ..... pp..... ..... ..... ..... .... pppp 
........... pp

ppp p p ppp pp
p p p p pp p
pppp pp pp
pp
pp pp pp pp p p pp p pp p p pp p p
pp p
p
pp p
p
pp
ppp

 
       ue"t#   
   ua"t#   !

Präzisions-Schmitt-Trigger

           $ %&
 '   (    ( Ua   Ua    )
 (   $      )
*    '    +  
, - .
./  . '         
) -  0 1'    !       ' 
  !    
,   
2 '    3   ue   
     0 1   
  
 


...
K½ ......

½ ... ...
. .
... ...
. .
.. ..
pp pp p pp ¼ . . ..........
...
p p pp p pp ..
.
..
.
p pp p p pp .. .. ue
........ p pp p pp p .
.
.. U½
.
.. U¾
p pp p p K¾ ....
.. .. . .
K¾ pp pp pp p ² pppp r
U¾ .. ..
. .
....
..
p p p p p p p pp p p ......... ..
.
..
.
p pp ... ...

p pp p pp p ua . .
½
pp p p pp
.. ..
.... . .
.. .... ....
....... ..
....... ....... ... ...
....... ......
....... ............ . .
......... r .....
.. ...
................
¼ ..
.
..
.
..........
...
....... ....... ... ...
ue ....... ....... ue
....... ......
pp pp p pp .
...
...
.
...
.
....
.. p p pp p pp ua ...... .. ..
p pp p p pp .
...
.
...
p pp p pp p ² ppppp
p pp p p ... ...

K½ p pp p pp p
. .
.. ..
p pp ½ . ............. . ............. ...........
..

p p p p p p p p p pp
p ..... ....
.
.. .
p p pp p
........ ....

pp pp p p p ¼ ............ .......... .......... ..........


U½ ... ... ...
.... ue
...

 
   
 Ue ein  U¾
 U¾ > U½
 Ue aus  U½

r r .......... ·U B

R½ RL

CK
.......... r r ..........

ue t R¾

u a ´t µ
..... .....
.
......... r r .
.........

...
Ue t .......
pp pp pp
pp pp pp ppp pp ppp
pp ppp ..p..p. ......pp..... ..... ..... .......pp ..... ..... ..... ...
pp p pppp pp p...... ......pppp pp p.... uat .........
Uthr ..... ..... ....... ........ ..... ..... .....
. .

¼ pp p ppp p p ... ... ppp p p ...


... ...
.
..........
. t

p ppp pp p ... ... ppp pp p ...


... ...

pp
. .
pp .... .... ppp ....
...
.
...
...
.
...
..........
...... τi ...............
. . . . .. . .. ..
... ... ... ... ...
. . . . .
.. ... ... ... ...
. . . . .
.... ... ... ... ...
ua t .... ... . . . .
... ... ... ... ...
. . . .
.. .. ... ... ...
.......... . ............. . . .
. .. i .. τ .. .. ... ... ...
. . .
.. .. pp .. .. .. ...........
t
ppppp
......

ppp ..........
T ...............
pp ......
...p ... ..........
. t
. .
¼ .. ...
............. ..............
. ..
.
T ..

 
!  "#   "#  $Uthr 
Uthreshold%

Beispiel.  " # #  &#   
 
    !  "#  ue$t%  
"#  '      " 
 &    g  τT   (" i
 





 
 
 

  
  
  CK
 
       
 

   
 

Fensterdiskriminator

    ! 


    
 " #
 $
 %   
  ue "  




      UGO 
UGU
 !   ##
  & '' 
 (#
  ! 
 
    "

 
 %     ue #        
UGU 
UGO  )

p pp pp p p ppppp

........
p p pp p pp
p pp pp p p .... u ao
........ p p pp p pp ...
p pp pp ppppp r ½
p p pp p
G

p pp pp p p
U GU
.....
.
pp pp p pp p p p p p p p
p p p p p p
p pp pp p p pp
p p pp p pp p p pp p p V ½ S ½
p pp pp p p
p p pp p pp r
¡U½
p r 
p pp p pp
........
r ........

p p p pp p
.....

p p p p p p pp pp p p
.
.... u ai
ue t
p p p p p p p p pp p pp ¾
...
....
...
pp pp p p p V ¼ p pp pp p p
G
v u   p p pp p pp
p pp pp p ppppp r
p pp
p p p p p p p pp pp p p
........
p p p p p pp
pp ppppp
p pp p pp p V ¾ S ¾ 
.........
U GO
....
..
¡U¾ ..... u au
.
...
...
G ¿

uao ....
.....

UH
...........
UL .. ue
¼
uai .......
.. .

UH
........... ue
UL ..
¼
uau .......
.. .

UH
...........
UL .. ue
¼
UGU UG 

##
  & ''* ! 
  
 %     uet 
 +   UGU

UGO " ,

     uao" uai 
uau #
 %    
ue

  # 
 %     ue 
  #   , V¼ 

    vu - .  %  
 %  
 /  V½ 

V¾   /  V½ #



 0    1U½ - UGU − ue 

 /  V¾
 0    1U¾ - ue − UGO (
 0   
 


    S½ 
S¾  #      +
G½ # G¿  
   
  
    S½ 
S¾ 
 
"
 

    
  " #
 $
 %  
   ue "  




      UGO 

  
 


UGU    


 
        

               
 
          ! "  # !$

! 

2.4.5 Zero-Crossing-Detector
   


 

   

  


   


 !
 " 
 

 
 # 


 $

%  &'( '     ) 


    '

 "     


     %  ue*t+  , 

 
!     %  
   ,  #    % '
 ue*t+    '   %     )  *+ 

-         


 .       !  

-!
  )  %  !     "
 '

!
      /   )  %  
   

...
....
BG ... ... ua
p p p p p pp
pp p p pp
r r
pppppp 0 UD
p
p p p pp p
R
p ppp ppp p
......... r · pp ppp ppp pp ppp ppp ppp ...........
......
ppp ppp p r ue
p pp p p p
........

ue*t+ p p p p p p p p p pp
pp p p
... ..
p p pp p p p ... ..
ua*t+ −UD
...... ......
. .
........ r .......

*+ *+

.  1
234 )   5 
 */ 6 /! 

7   ua 6 f*ue++

7   
  /   .   5 
    
  !
!  "!
 !     !  % '  .

  !    
        !

/!        . ua


 .  1
23 !   

8 !      7      )

2.4.6 Univibrator
%       "       ! &
  
-

    &


     &     &  $ '   

 
      &    - !  T¼         9  

 
%    "!      "   !     
  
%   "      
   ! 

 





 
 
 

  
        
    
      
      
  !"  # $ %$        
.... Tr Tr Tr
.....
 ue t

...........
..
¼ t
...... ......

.....
.. ..
ua t

...........
..

¼ ............. T¼ ...........
.. ............. T¼ ...........
..
t

.... Tr Tr
.....
 ue t

... ..........
. ..
...
...... ...... ¼ .
. t
....
..... ... pp
ua t . pp
...
. pp
... pp
.
... pp
. pp
...
. pp
.. ppp ppp ppp ppp ppp ppp ppp pp ...........
..

 ............. T¼ ...........
.. t
............. T¼ ...........
..

  !"& #   %$      


'(   '( %$) * 

 
  
...
.....
UCP t ......
...
..........

..........

 
¼ t ..
.....
..
.....
...
....

...........
 
...........
 





p p p p p pp p p
ppp p pp pp pppppp
pp
CP ppppp pp
pppp ppppppppppppp pppp uCP t
t
...
....

.....
...

...........

  

  

  !+& ,  %$   - ./0 
 
 1#22
  
 


  


       
    
   
 
   
   !"    
# $  
" 
    
   
  % !  

   
    
    "   

      " 
   
   
  %
  #  

   &


  "     '     

   " 
%    "  ( 
  #  "
 $    # "   $ )*  +
  &
$
 
       
#
   
 ,%-.
% /
  
0  &12    
   2
"   )   


 #

  #

       23 2


 # $%
Univibrator für kurze Schaltzeiten

3
#   
$  1
   
  
 "  
 4  
  % / 
  
 "    1
 
      55/    $"   + $  
  
 % 1
   
 x 6 7  "       5/
  7%    8 6 9  "
  5/$#      

 
9"    
 $ 
  %    "   

      %    


   
  7  # $" 
 5/$#     #

%


 ,%:7;  #  $  1

   
 
"   
  
  
  t 


    
 
   %    
 ,%:7 $"
d

       


   #   /     


%
2.4.7 Signalgeneratoren
    
          
         
    

  

    

1
 

 
   '   % +#   
  
$#    < $
  *    ; 1 $ %4%     

     =%4%  '#>    % 1
?
 $   

 
 '     "     
 $
  
 
 1#  

       3  


% 

    1
   "     * %
 





 
 
 

Rechteckgeneratoren

  

        
  
        

       
          !   

A
ppppppp t ppppppp

ua t
............. t

uC t
.. ..
C
...... ......
. ....
.

  "     



  

 #     $   


"  

       !
    %       U           
  
  U  

     
     
  !
a

   C    U     &         u 't( 
SU

   
  U     

        U   
a C

     C
  
           
 !
SO a

 U         



      
  U  
    )     !  *    
!
SU a

               +       
u 't(        u 't(
C a

´µ
uC t ...
......

Ua,max ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... .....

ppp ppp p pp p p p p p p pp p p
p p p p p p p pp p p p p p p ppp p ppp p p p p p p p p p pp p p p pp p p pp pp p p p p p p p p p p pp
US¼ ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... .....

p p p p p p p ppppp p pppp pp pp p ppp p p p p p p p p p p pp p p p p p ppppp ppp p p p p p p p pp p ppp p p p p


p p pp p p p p pp pp p pp pp pp p pp p p pp ppp ppp p ppp
p pp pp p p p p p p p pp p p p
p p p p pp p p ppp p p p
p p p p p pp p p p p
p p p p p pp p p pp pp
USU
p p p pp
..p.p.. ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... p p..p..p.pppp..p... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... .....p p p.p..p.p.p p ..... ..... ..... ..... ..... ..... ..... ..... ..... .....

..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... ..... .....
Ua,min
...........
..
t
¼ · T¾
ua ´tµ
T½ T½
....
.....

Ua,max

Ua,min
...........
..
t
¼ ............. T½ .....................
.. ..
T¾ ...........
..

T¼ ...........
............ ..

  " ,   +        u 't(       
u 't(
C
a
  
  

  
           



 
 
   
    
 
       
      

    
       
                 


 
           
 ! "    
 #$   


    $
    ! "    
#   $
     !

Quarzoszillator

"  
  %$
$        
  
 
$    
   !          &f/f  
  
        
   ! "  
           

  
 ! "  ' Æ 
   $           
  ( 
)*−½¼ ≤ +&f/f, ≤ )*−   ! 
-!./ 
    
     0
 ''1'      22" $
 !
"  G   G   3   
  

     CK     
  
   
0 CQ      4  CS   ! " 
    $ 
          
 0   4  CS  
 !   

  

CQ
&f/f ≈
- · CS
"  G     %$
      %$
   
            !
CK
r  ppppppp r rr  ppp pppp r r  ppppppp
p pp pp pp p ..........

G½ G¾ G¿

r r
ua t
R R
p pp
p pp p r
pp pp
C .....
CQ CS ...


-!./5 0   22"

 $
   6      6     

    
 
  
 3   ! %  
  
  $ $          

 "7.. +2$    1
  , 
  8 +
! 
 
  , 
  ! "   
 
   6

   &f/f < )*−! "  86  6   ( 

 9 $     


 
          
      6      $    ( $

  !


 





 
 
 

Sägezahngenerator

   
          
       
     !  
   "                 # 
$  %      
 
$  

ppSpp
ppppppp ppppppppppppppppp
C
s iC ...........
.. s
.............
R ie ...≈
i ........... ppp¼ uC ´tµ
.......... pppppp
pppp ppppp
..
s pppppppp p
ppppppp ppp
pp pppppp s ppppppp
pp
p p p p p p p p p p pp ppppppp
p p p p p p p p p pp
p pp p
ppp pp p p p p pppp p pp p pppppp ´v u µ
pppp ppp u ½ ´t µ
U¼ p p p p p p p pp p u¾ ´tµ
pp pp p p p p ppp
.. ..
.....
..... ....
.... ....
s ppppppp

  !& '   


   

(  
) 
 
           
*    +          ,   $    
    Re → ∞  ie ≈ - "      . 
 

 #   &


/ u½0t1 ≈ - $ u¾ 0t1 ≈ uc0t12   $ du¾ /dt ≈ duC/dt /  3    
*    C 
iC0t1 4 C · duC/dt.

,  $  "  u½0t1 ≈ -"         i0t1 ≈ UR¼  , i0t1 4 iC0t1  


R
4 C · du
dt
¾
.

(     %    

u¾ 0t1 4

·t
R·C

(      5         6  

  
              
  
        73 $8 
    9
  
 


RB
............
..........................
....
... ...
uSt ´tµ T ... . ... ....
.
.... .... ... ..
.
............ ... ...
...... ..... ...
... ............ .........
.
.................

r r

R ........ C
r ........
.......
........
........
........
.......
........
........
.....
.......
r ............
........
................... .
...........
... .. ........
..
.... . .
. .
. ..
... U¼ ........
...
................... .......
.......
.......
....
....
u¾ ´tµ
....
....
r ............

 
     

             
!"  #     ! $ %  &   
 &  u %  " %      ¾
  '      %   
(
   )% %   uSt*t+    u *t+ ¾

...
....
uSt t

..........

¼ t

...
....
u ¾ t  qqqqqq qqqqqq qqqqqq
qqqqqq qqqqqq qqqqqq
qqqqqqqqqq qqqqqqqqq qqqqqqqqq
qqqq qqqqq qqqqq qqqqq
qqqqqq qqqqqq qqqqqq qqqqqq
qqqqq qqqqqq qqqqqq qqqqqq ..........

¼ t

 
( , )%   uSt    u *t+ ¾

Programmierbarer Funktionsgenerator

)     -'    %"
  " .    %"   


,   /"  %"   
,        , 0t  *n · 0t 1 T + &
   2    % &    3
     #   &   *   

 +    "      
, /"  %"      & &
  %"        
2   
   ,      "
   4     5"  '   #
'     #     % 
&  6"  '   #    2
     "  % 
"
 





 
 
 

.
....
......
ft
pp pp pp p p ppp
p ppp ppp
p p p p ppp p ppp
pp p ppp
p p pp ppp
p pp p ppp
p p p p pp pp ppp
p pp p ppp pp ppp p
p pp pp pp p p p p p p p p p pppp pp ppp p
ppp p ppp p pp p
pppp pppp p p pp p
pppp pp p p p p p pp p
p p pppp
pp p p
pp p p pp p ...........
...

    ppp p ppp p p


p     t
¡t .............
..........
... ppp p p
p pp pp p p p p
p p p
ppp pp
p pp pp
ppp pp p
pppp p
ppp p ppp p p pp pp
p pp

.............
 

 ..........
...

n · t  T

 

    ft    

2.4.8 Analog-Digital-Umsetzer
   !            " # !
  $      
       !
 %        &     #     
"     
       $ ' 
 !$ !%       $ !  !%
ue ´tµ...
....
ppppp
URef
....
......
..
ppppppp
pp p ppp
....
..
p p p p p p p p p
p ppppppp p
pppppppppp
R
pppppppppp
pppp ppppp p p p p p p p p p pppppppp pp
p p p p p p pp p p ppppppp
pppppppp
p ......... pppppp ppp
pppp ppppp ¾
........
q ¼ t
pppppppppp u¾¿ ´tµ ......... ¾
u¾½ ´tµ...
....
...
....
R
pppppp ppp
......... q pp pppppp p
p p p p p pp p p 
ppp p p ½
pppppppppp
......... ......... ¾ ........
ue ´tµ ....... q ¼
pppp ppppppppp
t
u¾¾ ´tµ
u¾¾ ´tµ ...
....
...
...
R
ppppp pppp
pppp ppppp ¼
p p p p p p pp p ......... ¾
pp
p pppppppp
.........
q p p p p p p p p p ¼
........
t
pppppp ppp ....
...
u¾½ ´tµ
u¾¿ ´tµ...
....
R

........
¼ t

 
(  !$ !%     )     
*  &    +   uet , rt& -     !
  *  

A-/D-Wandler

+  !$ !%       )     &  


            # *     !
 
(        +   uet   $ . 
  
 



      

    

URef
       
  
 
 
     


  
 
 !   " 

 ue#t$    
%
 &.'URef! &.'URef  &.'URef (  %  !    

  

  )  &    *
  +    
  
" 

 ue#t$     ,  
!   




  &
  * 
  "

 -  
 


  

   
 -  

D-/A-Wandler

.
  /      
  0

 

 ) 
 
  1     
 
     2 
.. r r r
....
...
...
..
....
....
... R R R R
....
... .. ...
....
p
...
ppp
ppppp pp pp p
ppp pp
...
p pp Rgegen  R
.
p pp p pp p p p p pppp p ppp
..
....
pp p p p pp p pp p pp p pp
URef pppp pp
p p pp pppp z p pp p ppp z p pp pppp z p p p pppp z
pp p p p p pp
p pppppp..ppppppp p pppp pp ppp ppp
..... ... p pppp ppppp p
... ...
... ppp pppp pppp p
... r r r .......... r pppp pppp ppp
.
p ppp ppppppppp
ppp ppppp
...
... r
pp ppppppp
...
...
...   ½ ¼ p p p
p p p p p p p
...
p p p p p p p
pppppppppp
... ..... ua
ppppppp
...
pp ppppppp
...
...
...
...
...
...

  13 /  


   0%4%)


0 )
   
! 
       
  
56!   7       0 
   
 !
   7    *
 0   8 ,    9 
 %

,   )
 Rgegen    
  ,
 .   
   
)   :     + 5  
 
) +    z   
  !       



Ua ; ULSB ; −
Rgegen
*<R · URef
) R ; Rgegen !       


   =+ Ua ; −  URef
     0
  zz zz
 /     3
* * * * Ua ; − URefz − URefz − URefz −
 >  *< URefz
?    
    

  
  


  @ ,

    !      9 
 
,
  

+
  +    " 
  

 ! :
   
 
  "

    2 
 !  (    ,  "       0
%

     
 
  A        =
 
  
 


2.5 Halbleiterspeicher
 
  

 
 
   
! "


 
    
    

Tabellenspeicher:   
   
Funktionsspeicher:  
   
   

2.5.1 Tabellenspeicher

        !   


 

      
    "      
 "    
"      
 #   
  
           $  % 
  
      &
  '  
 '" ()  *+ $ ,  , 
 '  
     $     *+--%  
  . /$
,   0   
 
  
-# 
   -

$   
-# 
 1   
  
  

 
  2   
    3 4 2 "    
  

   
 $  5 !06   
-# 
   

 -
   "   
     !76    !  0

 6/
 $ %   
  !06      $
,  
     0    3 "       0   
   #        $        0  "
  2  2    $ 5
    
02     -
 $ , 8      
 "    #2  
   
-
   $
   # 4   
  0   "    "  
0  $ 9 
 
      $  
 
 
    
 9          $   

%       $
:   9    
 "      0    
 "  
   9  
     "          
 
#   $ 5
 0    - "        
   
$  7  
   9      %$
 
     "   "     "    -
 
       
     
 0        

- $ #    "    
 $    0    " 
   9    ,      "   !06   $ ,
     
 3   "  
  "      
#   0      $
  
 


select
select

&
&
input S output

1
& R input BC output

read/write read/write

(1) logische Schaltung (2) Blockschaltbild

 
   

     !"##   $   


 %#     " &   '(     
' 
&    % () *   + $    
)    (" &# &   ,-,''. /  
, %#  0 ,   + $ )## 1,-, 2    
#   $  34  "    %#     
& +#   &   %#  $ &" &   (
   !"##  +   5    . /6
# !  
   #&  #+  # 
3 
   

data input

2 to 4
decode
BC BC BC BC
address
inputs
BC BC BC BC

BC BC BC BC
memory
EN
select
BC BC BC BC
read/write
1 1 1 1

data output

 
7 ,-, #
  
 


  
                
       !       " # $
  %  % &   "    n '  (n &    
)* #     (½¼ + )*(          ,
    &   -  .)
× -/  0 1    
     #  ( -) 
  % 
        2.   %
1  
       $   /    
       3   , "       ,  

     4  ,   5 $ $    %  $ 


$ ,  &   *  $     !  6   "
     7      $      8,   # "
#  2    "            
 
!  ,  #       ,  )
× - 9#    
     2   #      $  
   #" %   '  %      "
RAM 1K x 8

...
  ... 
..
 

... ...

  ... 
   
  

#  ( -(:     )


× - 9#
address
lines lines 0−9
10,11 10 input data
8
memory 2 to 4 RAM 1K x 8
EN decoder
select DATA
3 2 1 0 ADR 0−1023
CS
read/write RW
RAM 1K x 8
DATA
ADR 1024−2047
CS
RW
RAM 1K x 8
DATA
ADR 2048−3071
CS
RW
RAM 1K x 8
DATA
ADR 3072−4095
CS
RW
8
output data

#  ( -;: 
- 9#
 
1  " " "$       $
            $ "      % 
 
  


   
 
   
 
 ½¾        !    "
 # 

  × $ %& '
 (   ) %
    
  
   * +  × $ 
        ""
 
) "    ,-    )
  
     )    
      ! 

2.5.2 Tristate Outputs


&
   
 
   
. "  
 
 

    /   "      
  , "  
 

  
   
   0 
  "  ! /   12 '   3

   0 '   03

    !  ,3
  4   !       
   
 "
     " "   
  
 
 

  # 

 
  
   "


  5
   
        
6 7

  "" 
   ) 3
 "  
 3
  "
"   
  " 
    

 
  0
    8   
9     :
  "3
      
 8  ;  
  9 ,  )"
  
" :
     ,  
       

 !
    / 
   0     

a) 1 b) EN I Q
I Q H L H
H H L
L L hochohmig
EN L H hochohmig

+U B
+U
c) d) B
&
I R1 R2 R3

Q T5
T3
D1

&
I T1 T2 Q
EN

EN T4

R4 R5

0V

""
 $< 6 7



; , 73;    6 7



 
  
     ) 3


  
    
 ; 8
  9 ) ) "  
  
 


    


   
             

                   
      !
 
!
     

 "# $ 

 %
 &'(   '(   !
     '(    )    
  * '(  '(     
 &        +, 
-,     "#   &   !
 '(  

2.5.3 Open-Collector-Schaltungen
. !
                   ,
!
  !
 
/(     0  !
   '(   '(    . ,
        !
   0 )       . , !
(
 0 .  !
  (
   '(  ( !
      '(    
 1  . ,   0 .  !
   '( !
  
1 !
    " 
!
  '(  '(     . , !
  !
   2'( '(  '(  ,
'( &  

  3  !
  '(   !
       # 4,
  $ 
    %   
      ,       
 .   1  $ 
  %      4    '(    ,
      ( !
(  
&'(  !
      '(
        4    '(       
0  

UB ....
.....

RC

r r r ...........

Ua
...... ...... ......
....... ....... .......
.......... G½ .......... G¾ q q q .......... Gn
.....
.....
......... ......... ........
......

  
05 *  '(   !
    # 4

   ua 6 '(     )     33,*     ,


'(   !
    33  
(
     
  
          )7,*  (   '(     ,
 '(  )7,*  6
&    '( !
    )    8/ ,9!

  !
  $  
:%

1         ,&'( '(     '(    . ,9!


  
        !
         ( # 4
  '(   '(   '(  
/'(   1  '(   

x½ ∨ x¾ ∨ · · · ∨ xn ; x½ ∨ x¾ ∨ · · · ∨ xn ; x½ ∧ x¾ ∧ · · · ∧ xn

.   '( &'(       


<

1     8/ ,9!


     !
    '(  / ,
      . ,9!
   
7 . ,/ ,  7 . , ,& 
= '(             $")%    

 


U
...
B
..
....

Rc

q q ..........
a

······
........... ........... ...........

...........
♦ ...........
♦ ...........

x½ x¾ xn
G½ G¾ Gn

a x ∧ x ∧ · · · ∧ xn


 ♦    !"#$
U
.. B
..
....

RC

r r  ......... ..........
a
x½ x¾ xn
........... ........... ...........

...........
♦ .......... ...........
♦ .......... ······ ...........
♦ ..........

G½ G¾ Gn

a x ∨ x ∨ · · · ∨ xn


% & ♦    !"#$
2.5.4 Speicherbausteine
&'(#"! )    

  * !!!
" "!#!" *" &'
  $ + *! #  *,  - ) #" +. !) ! /0/ ' - ! 1#! *"
##! "
#  """#  *! #" *#!! ), !  2#!
*#!
+ &'" ". * #! # * * 3+*  # 4!

2* ! !   # * 5#*#     * + #" * &'
)"! * + 46"+!   *-  1"#!
  "!*
#"  ** 7"   3" *#!, #"" "  *! " * * !# ", 
*
,
)  8 +#* . (#!   *! " . "!#!" *  *+ # 
!!  *#!" 2$ !# *! ), ) "   #" * &' 
9# "!

#" * &'"   $ " *  2#!  *!  1#! *" "  :
"#!
 :##+!#! !#!  ) !9## ! "!*!  ;<−$
#" *
&'" "" + 3*#!  #! !)# # " !!"  +"#!+ *  *#!  "
#!    **,    " *! 2#! =  *+
#""   " * ) 9  +! * ! " &"* ! " 
' *!
Burst Refresh:  #! ) ! *   #  *+  &"*
 *+*
#* " 4! "!  46 #   *  *, )"*# 
 *-  1"+6   *" *!! # #

Cycle Stealing: >  # (  + ., # #  &"*.#  
+ ? "  *" !!  **

  
 


Transparent Refresh:   


 
    
  
         
 
   
Halbleiterspeicher

Tabellenspeicher Funktionsspeicher

RAM ROM PLD

statisch dynamisch M ROM PLA PAL LCA

P ROM P PAL

EP ROM EP PAL

EEP ROM EEP PAL

Flash−EPROM

    


  ! "


#  


 $
       %&    '
   

(  )     
    
 *  +      ,-
 
   

. *&  #     $"
   
   

   / 
 0"    %& ,""
1 *        # +     $"
  
2 3
4  
  5         $"
"     
 3   $ 6   7  *    
  %& 
 $"
 6    6   
 6    *    

    , 
    $
,   
#   #(-     

 +  6   6 
   8(9:    2
   : (  ; <: ( =  "

¾¼ ; : >8 ?@A 
B       4    55      $"
 6
 C   '  
 ,   C  #    # +
     

   

 $
 
    1    #    
1 0(  6    "   (    $
"  
  
D    
  -1    # *"        
(-
"  $       
 , n B  E9 *   
 #      ,
 
1 
     

  , 0(
ROM (Read Only Memory): # /    
(0( 4  
 5 !   +
  $"
 
   !  E    6
 "  / 
 
 ,    # 3
6  .
  2
$ 6
 4   :>>>> $ 65   
 
  

PROM (Programmable ROM):    



     
 

 
     


 
    
      


    


    
    
       

  
     
 
  
 
   
!
EPROM (Erasable PROM):  "#$%& '   #$%& '   
  
 !
 (   ! #



    
  ) !
               
 
   * 

    +  , 
  

     
 & 
 
  
 
   "
 
     
  -    

.     "#$%&
  

    
 "     .
 
 
!    

 
      #$%&  
!!  
EEPROM (Electrically EPROM):  .  ! ! "#$%& 
  

   
    
 /0   #


   
  
*   

 1  0 ! 


   
 
     
 "      

   
 
  
  
 
           ""#$%&      $&
 ! 
  " 
    )
2!  
  

 
 0
   
 34   
 
  1  
 '    )
2!
 $&    5 6    ""#$%& ' ! !    
  
!    +5   $&, 
  7
! 
   




  
   $&    ""#$%&    "     ""#$%&   
$& 

 
Flash-EPROM:  
    7
 !  "#$%&   ""#$%& 

   !
 
  
 
    0   ""#$%&  



 ! *      
 /        
 
"#$%&       #       
 (
   
! 

2.5.5 Funktionsspeicher (ASICs)


8   
      +       ,  
 
     !
 
   
8     (
 
      
     

  
 
        
 
 



  *    
   
 "  
   
 
 
      
 
    
# !  
 
   
)
    ( 

    
!  
*
 
! 
!        
       
 

  
8
 
  
   * 
 
  ! 
   -  .    


  !   
    
 * 
 
   
   


 
 
 
  
    ! 

 " !
 +#,    "
 ! +#,    
 
    
       5   %$. 
 
     # 
 
    
    "  
  ! 
 5   

!  "   
15. 
  & 
9   
    7 
   
   
   !     7
     





 7
!     
! & 
9   
   ! 
 15    %"$. 
   : 
    - 
  



  7      !   
 %"$. 
  
 
    #    & 
!    




  8  
#

  %"$& 
9  (


: 
  #     7    
  
 


 

 
            
  
       
     

e1 1

e2 1
&

&

&

1 1

f1 f2

! "  #$%& '

 
!  ! "  #%(    "
 '!"  " 
 !!
       ) "   *"          )
 
       +
   *!!    
,,!"     

    '!"  " 
 " ! " 

! "   ! " 
  *!!  "        !
-  .
!! 
" 
""
/   
! ',! !"   01 !!   2"!"       01
3 ","  ,!      4  ! "    3! 4¼ " 4¿  
 ',! !"  "   '
 "   !" 
4¼ ∧ 4¿ !   !

! "  ',! !"   01 !  !" 
   " 1

 ! 
  113 ","   "   3!     ! 
!!   11511'" "  1
   
-  .
!! 
" 
 +        /    6! !"   
!! "  "   2"!"  "    01  "  ! 
"  
   
  11
4      
!  
!  &     01 "
3 
."" ,"  ! 
! !" 
 72!
 8
 $ 3!
!   "  !" 
 
    !  " " 

 !   
 "    ."" ,"       015
*
     "    6  " "  !! "
  11511'" " !
  +
  !"   
!  "     

!! 
   "   9"    3! 4¿ "   3 " 
 : ! "    3 " 
4¼     ',!   :
"   ',! !"    

!     
!! ! !  
 3 "  ! 
 ""  '
 "    "  "!  " 
9   .
,,!"  "  3 " ,"  "!
 '
3 "  " "  ;!!" " ! 
!  " 
 
!  &
<   
   
  
  

 7 !  
 8&
 3 "    " "  ;!! !!  "  
 



   
   
      
    
 
   

   


     
    
  
        
  
 

  !     " #
 
       
  $ 
   
$ 
 

   
   
  
   
$       % &
    

5V

(A) I0

(B) I1

(C) I2

(D) I3 UND − Matrix

I4

I5

I6

I7

5V (F1)

(F2)

ODER − Matrix

'
 ()   *'& 

             
   

   
         
 
 &
 
      + 
    
  , 
   

  
 

- 
  
           
 
 

  

 '*&. 

 /      0

  $
  
   
 1  2  
  
     2  
   
  

      0
  &
      


  .     
 3'.*4 
 
          5    

 6  
7

        
    8    
  
 


 
      
         
 


           
      !"
 #"""
$   

    
    %      &
 

 &'(    )


     &
  *
    
  +
        
 ,   

   
     
 
   
LCAs (Logic Cell Array)   
 -      

 
 ./            
  
 
          
PLDs (Programmable Logic Devices)   

      
    )
 %   
 
 

    .     
 0
  &  
  

 1  &        
 
 

e PLA f
latches

 
 #234       

 1

   
    5 
    5 

 
 
  
 *
  +       )
 1  6 1     
       
   
      / &  
   7   '(    

           &     
  
    $ *  3"""  !"""" 88 )$+
 
$
  *  9  .:;
 +   6    -
     
 %
    7   ( )
< (1)  *
  
  
    +  
   '(  7     

  &         
      
1 
   -
 
          
&  
   
 / 
      = &
   
 
'  &
 , &'(  
,   
      )
 
  
   
 #""""" 
 $ 
       = 
1 

 
   
   
   
    
     (    

   
 ## . 

Weiterführende Literatur
  -  7       & )     > 
?  322>
%    & )     32@A
. . .   )7  1   ( B  32@>
 
  

    


       
               
     !
"  #$%&   
   '%    
    
    !(((
)  
   
    #%$   % *
+,- . / (01! 2
3 # 4  
5  
      !((!
+,- . /1( 1!1 0

You might also like