Professional Documents
Culture Documents
R1 Comparador
R1 Comparador
INDUSTRIAL, ELÉCTRICA Y
ÁREA ACADÉMICA: PERIODO DE ENTREGA: 19-09-2023 / 26-09-2023
ELECTRÓNICA
CRITERIOS DE EVALUACIÓN
INSTRUCCIONES
Ver Anexo II
1. Se cuenta con un Comparador de Dos Canales (𝐀 𝐲 𝐁), el cual, por cada uno de ellos se
le asigna un Vector de 4 bits, dentro del comparador se deberá realizar la Operaciones
Lógicas de Comparación entre ambos canales, teniendo como Salidas (𝐒𝟏, 𝐒𝟐, 𝐒𝟑) las
siguientes consideraciones:
• Salida Lógica 1 (𝐒𝟏) en alto “𝟏”, y demás salidas (𝐒𝟐, 𝐒𝟑) en bajo “0”, cuando
ambos vectores de entrada son iguales (𝐀 = 𝐁);
• Salida Lógica 2 (𝐒𝟐) en alto “𝟏”, y demás salidas (𝐒𝟏, 𝐒𝟑) en bajo “0”, cuando
el vector de entrada A sea menor que B (𝐀 < 𝐁), y;
• Salida Lógica 3 (𝐒𝟑) en alto “𝟏”, y demás salidas (𝐒𝟏, 𝐒𝟐) en bajo “0”, cuando
el vector de entrada A sea mayor que B (𝐀 > 𝐁).
Canal Canal S1 S2 S3
A B
1 1 1 0 0
5 9 0 1 0
10 8 0 0 1
Rúbrica de evaluación
Secuencia de aprendizaje Criterio Ponderación
2.00 puntos
2.00 puntos
• Nombre de la unidad
Cumplimiento
• Nombre del estudiante
Total de Tareas
• Número de matricula
• Grupo
• Nombre del docente
1.00 punto
Responsabilidad y
Ser Ser
Proactividad Entrega de tareas, asistencias o faltas justificadas y
entrega del anexo de evaluación a tiempo*.
a) Código del comparador de dos caneles “A Y B” con 4 bits;
PROGRAMACIÓN DE LENGUA VHDL.
--Libreria Estandar
library ieee;
use ieee.std_logic_1164.all;
elsif (A(3 downto 0) < B(3 downto 0)) then --Cuando A < B
S1 <= '0';
S2 <= '1';
S3 <= '0';
elsif (A(3 downto 0) > B(3 downto 0)) then --Cuando A > B
S1 <= '0';
S2 <= '0';
S3 <= '1';
end if;
end process;
end basico;
b) Diagrama Esquemático (RTL Viewer);
S1$latch
A[3..0] S1
B[3..0]
B[3..0]
A[3..0]
A[3..0]
B[3..0]
A[3..0]
B[3..0] S2
S3
LATCH
Page 1 of 1 Revision: R1
c) Simulación (Simulation Waveform Editor) de las Operaciones Lógicas de Comparación entre
ambos canales, para los siguientes números en sistema decimal
Dado que A tiene el mismo valor que B en las entradas: S1 será ‘1’, ya que así lo declaramos si A=B,
sus salidas serán; S1 = 1, S2 = 0 y S3 = 0.
• Cuando en el canal A tenemos 1 y en el canal B tenemos 1.
Dado que el canal A y B, tienen el mismo valor “1”, serán iguales “A=B”, por ende, S1=’1’ y sus
demás salidas (S2, S3) serán ‘0’.
• Cuando en el canal A tenemos 5 y en el canal B tenemos 9.
Dado que el canal A tiene el valor “5” y en el canal B tiene el valor de “9”, A será menor que B “A<B”,
por ende, S2=’1’ y sus demás salidas (S1, S3) serán ‘0’.
• Cuando en el canal A tenemos 10 y en el canal B tenemos 8
Dado que el canal A tiene el valor “10” y en el canal B tiene el valor de “8”, A será mayor que B
“A>B”, por ende, S3=’1’ y sus demás salidas (S1, S2) serán ‘0’.