Professional Documents
Culture Documents
Лабораторна - робота - 1 quartus
Лабораторна - робота - 1 quartus
25
Дешифратор 2→4 має два інформаційні входи x 0 та x 1 , керуючий
вхід дозволу дешифрації En, та чотири інформаційні виходи y 0 , y 1 , y 2 ,
y 3 . Дешифратор перетворює двійковий код, який має місце на його ін-
формаційних входах в унітарний код на його виходах. При цьому входи
та виходи з більшими номерами мають більшу двійкову вагу. Таблиця
істинності дешифратора, та логічні рівняння, які аналітично його опи-
сують наведені на рис. 2.1.
Входи Виходи
y0 = x1 ⋅ x0 ⋅ En;
x1 x0 y3 y2 y1 y0
0 0 0 0 0 1 y1 = x1 ⋅ x0 ⋅ En;
0 1 0 0 1 0 y2 = x1 ⋅ x0 ⋅ En;
1 0 0 1 0 0 y3 = x1 ⋅ x0 ⋅ En.
1 1 1 0 0 0
Рис. 2.1. Умовне графічне позначення, таблиця істинності
і система логічних рівнянь, що описують дешифратор 2→4
26
Рис. 2.2. Майстер створення проекту (попереднє вікно)
27
!!!
САПР Altera Quartus II вимагає обов'язкової вказівки на те, який
опис, що міститься в проекті є описом головного пристрою проек-
ту (Top-Level, пристрою верхнього рівня ієрархії). Саме для голо-
вного пристрою, система виконує всі проектні процедури.
Ім'я головного пристрою задається ім'ям секції entity, якщо при-
стрій описаний у вигляді VHDL-файлу або ім'ям BSF-файлу, якщо
пристрій описаний у схемній формі.
Головний пристрій може бути визначений на етапі створення про-
екту (див. рис. 2.3), а надалі може змінюватися через меню
“Assignments → Settings → General”.
29
ронніх виробників. В даному випадку ця можливість використана не
буде, у зв'язку із чим необхідно нажати кнопку “Next” без виконання
яких-небудь дій у цьому вікні.
30
Крок 2 (формальний опис пристрою). Інформація про структуру
та состав проекту після його створення міститься у вікні Project
Navigator, розташованому в лівій верхній частині головного вікна
Quartus II. Навігатор має три вкладки: “Hierarchy”, “Files”, “Design
Units” (рис. 2.8).
!!!
У даному практикумі здебільшого будуть використовуватися на-
ступні типи файлів, які підтримує САПР Altera Quartus II:
– “VHDL File” – текстовий файл опису пристрою мовою VHDL;
– “Block Diagram / Schematic File” – файл, що містить
опис пристрою у вигляді структурної схеми;
– “Vector Waveform File” – файл часових діаграм.
31
Після виконання зазначеної дії Quartus створює файл, але не додає
його в проект! Для додавання файлу в проект необхідно вибрати пункт
меню “File → Save As”, і у вікні, що з'явилося, задати ім'я файлу, а та-
кож простежити за тим, щоб була встановлена галочка в пункті “Add
file to current project” (рис. 2.10).
01 -- Listing 2.1
02 library ieee;
03 use ieee.std_logic_1164.all;
04
05 entity decoder24_ent is
06
07 port
08 (
09 X : in STD_LOGIC_VECTOR(1 downto 0);
10 en : in STD_LOGIC;
11 Y : out STD_LOGIC_VECTOR(3 downto 0)
12 );
13
14 end entity;
15
16 architecture decoder24_arch of decoder24_ent is
17 begin
18 Y(0) <= not X(1) and not X(0) and en;
19 Y(1) <= not X(1) and X(0) and en;
20 Y(2) <= X(1) and not X(0) and en;
21 Y(3) <= X(1) and X(0) and en;
22 end decoder;
33
Рядки 18 – 21 – тіло архітектури, в якому містяться чотири логічні
рівняння, що описують функціонування дешифратора.
Рядок 22 – закриття архітектурної секції опису.
Крок 3 (синтез проекту). Всі основні дії по керуванню етапами
проектування в системі Altera Quartus II виконуються за допомогою па-
нелі “Tasks” (рис. 2.11). Ця панель розташована під вікном Project
Navigator. Панель кнопки у вигляді трикутників, які запускають основ-
ним етапам технології проектування.
34
Рис. 2.12. Типовий вигляд RTL-схеми та схеми
в технологічному базисі для дешифратора 2→4
35
!!!
RTL-схема (Register Transfer Level – схема рівня регістрових пе-
редач) є апаратно незалежною, тобто її вид не залежить від того, у
якій саме мікросхемі буде реалізований проект. Схема, представ-
лена в технологічному базисі, напроти, істотно залежить від ці-
льової мікросхеми синтезу й змінюється при зміні мікросхеми
(наприклад, при зміні одного сімейства мікросхем ПЛІС на інше
сімейство).
37
Крок 5 (розміщення та трасування проекту). Запустіть процес
розміщення та трасування проекту, шляхом натискання кнопки Start
Fitter на панелі “Compiler Tool” (рис. 2.11).
Після закінчення цього процесу запустіть редактор розміщення та
трасування Chip Planer (крайня права кнопка із групи кнопок, що на-
лежать до області Fitter). В списку, що випадає, Task, цього редактора,
виберете значення “Post Compilation Editing”. Переглянете в редакторі
Chip Planer, як проект розміщений на кристалі (рис. 2.17). Вивчить
вміст логічних блоків LAB (рис. 2.18), комірки мікросхеми (рис. 2.19),
елементи вводу-виводу.
38
!!!
Перед виконанням розміщення та трасування необхідно надати моду-
лю Fitter інформацію про те, на які виводи мікросхеми необхідно роз-
вести вхідні і вихідні порти пристрою. Якщо ця дія не зроблена (а на
даному етапі ми її поки що не виконали), то Fitter виконує розведення
за своїм розсудом. Процес розведення портів буде розглядатися в на-
ступному розділі практикуму.
39
Крок 6 (часове моделювання). Для створеного на четвертому кро-
ці Vector Waveform файлу wform1.vwf виконаєте часове моделюван-
ня пристрою. Запустіть процес функціонального моделювання. Для цьо-
го в меню Simulation редактора часових діаграм оберіть пункт Run
Timing Simulation.
40