You are on page 1of 4

2020 International Conference on Computational Performance Evaluation (ComPE),

North-Eastern Hill University, Shillong, Meghalaya, India. July 2–4, 2020

A Reconfigurable Time Multiplexed Multichannel


ADC .odel for &fficient Data Acquisition
Udeshna Hazarika Monalisa Das Kandarpa Kumar Sarma
Department of Electronics and Department of Electronics and Department of Electronics and
Communication Engineering, Communication Engineering, Communication Engineering,
Gauhati University Gauhati University Gauhati University
Guwahati, India Guwahati, India Guwahati, India
e-mail: uhazarika12@gmail.com e-mail: monalisadasece@gmail.com e-mail: kandarpaks@gauhati.ac.in

Abstract—Present days witnessed extensive use of Analog to the data and reacts accordingly. ADC thus plays a prime role
Digital Converters (ADC) in different fields of applications, as in today’s data acquisition systems [1]. The traditional way of
an interface between the analog world and the digital world. dealing with analog and digital systems is to use an external
However, in most cases, external ADCs are employed to meet
the purpose. This eventually makes the system bulky, power- device to convert the signal from analog to digital form, which
consuming, complex, and costly. Implementation of reconfig- eventually leads to system bulkiness and cost ineffectiveness,
urable ADC programmed inside Field-Programmable Gate Ar- with the increase in the number of analog input channels.
ray (FPGA) could reduce the system cost and power consumption
substantially. Furthermore, this will make the system simple as Presently, mixed signal components (ADCs) are commonly
it does not require any external circuitry for the conversion modeled and designed using analog approach. Based on the
of analog input data into digital form for processing. Thus, applications and requirements, generally, ADCs can be mod-
in this paper, a multichannel reconfigurable ADC is designed, eled into Flash-type, Sigma-Delta, Successive Approximation
with an additional feature of time multiplexing for efficient data Register (SAR) type, and Pipelined-SAR type. Such compo-
acquisition. The design is being implemented using 100MHz
FPGA clock frequency thus can achieve a sampling rate of 100 nents are then designed into chips of particular specifications
MS/s with a resolution of 10bit for 0-2.5V signal range. The as required. Such ADC chips are connected off-chip with the
FPGA resource utilization for the complete ADC model consists processing block (Microprocessor or FPGA) for further appli-
of 341 FFs and 265 LUTs, with total on-chip power consumption cations, thereby increasing system bulkiness and cost of the
of 14.428 W. The proposed system can also be implemented entire system. In contrast to this, there is another novel digital
for different analog signal from transducers, depending upon
the demand and requirement of sensing parameters the data ADC design model [2] that facilitates the implementation of
collection time can be varied for transducer to transducer. such mixed signal components inside the FPGA itself. Digital
Index Terms—reconfigurable, ADC, LVDS, TDC, FPGA, HDL integration and synthesis of such mixed signal components
acquire every benefit of digital design than its analog counter-
parts, such as noise reduction, power consumption, and area.
I. I NTRODUCTION Apart from this, it also produces an efficient system in terms of
scalability, flexibility, and compactness. Integration of an ADC
Analog to digital converter (ADC) is the linker between in FPGA, either ASIC ADC on-chip or a reconfigurable ADC,
real world parameters and the digital world. All natural phe- is a promising approach. This would provide advantages such
nomenon/ parameters such as light, sound, etc. are analog. as having the analog data directly inside the FPGAs, leading
Sensors that sense pressure, temperature, sound, etc. generate to faster processing of digitized data, without the requirement
output in analog form either in voltage or current. Dealing with of extra power supply, and extra off-chip circuitry.
analog data for analysis, synthesis, transmission is complex,
power-consuming, expensive, and also noise can affect more, In this paper, we propose a multichannel digital ADC design
which leads to data loss during transmission. In contrast to model for integration inside the FPGA that can be reconfigured
the analog world, the modern digital world is easy to use, less as per specification requirement. The proposed system can take
power requirement, easy to analysis and synthesis of data, also multiple data from multiple sources. Depending upon data
cost-effective. Therefore, conversion of analog data into digital rate and requirement, the time allocation for different input
form is much-needed practice for processing the real world channels can be programmed, making the model an efficient
data required for different applications. ADCs are extensively block for data acquisition.
used in different fields such as safety and security, healthcare, The rest of the paper is organized as follows. In section II,
different sensory systems, industrial control systems, higher a brief survey on the related works is presented, followed by
energy physics experiments, etc. Devices used in such appli- a detailed explanation of the proposed model in Section III.
cations mainly comprises of two parts- analog part used to The results and discussions are presented in Section IV, and
collects the sensing parameters and digital part that analyzes finally, Section IV concludes the paper.

978-1-7281-6644-5/20/$31.00 © 2020 IEEE


809
Authorized licensed use limited to: BMS College of Engineering. Downloaded on January 08,2024 at 19:41:22 UTC from IEEE Xplore. Restrictions apply.
II. L ITERATURE R EVIEW These blocks are designed as a module and implemented
ADCs are used in numerous applications, and each ap- inside the FPGA. However, externally a resistor ‘R’ of 100
plication demands their own sets of requirements. However, Ω is connected to the clock signal to generate a ramp signal
designing an ADC for reconfigurable devices though it has as input ‘Vref’ to the non-inverting terminal of the LVDS
own benefits; its design is itself a tedious task. Different blocks. The inverting input is fed with the analog signal that
researchers have implemented different models to accomplish may come from any real time signals or sensor outputs. In
the challenge. In general design of fully digital ADC involves this paper, a multichannel ADC is proposed using two sensors
the use of an LVDS (Low-Voltage Differential Signaling) pin data as input (presently using LM35 temperature sensor). The
pair for receiving analog inputs, passive components such as output of the LVDS comparator is fed to the MUX block that
few resistors and few capacitors, and the associated conversion sends the required analog input to the TDC block, depending
logic block implemented using different logics. Besides these, upon the select line logic.
a technical article [3] gives a brief description of the existing
methods and implementation of such ADC designed in FPGA
or CPLD (Complex programmable logic device) platform. Im-
plementing a time to digital converter (TDC) in reconfigurable
devices is another method to design an efficient ADC. Various
TDC architectures are employed to meet the requirement. Favi
et al. [4] have proposed an architecture that uses the traditional
concept of combination of counters fed by successive buffered
delay lines at each level. Another approach is proposed by
Chao et al. [5], using ring oscillators to design a TDC. A
combination of both buffered delay line and ring oscillators
architecture is being implemented by Van et al. [6].
Using this TDC concept ADCs are designed for reconfig-
urable devices. In the paper [7] wen et al. demonstrated the
technique of integration of DAC and ADC chips into FPGA. Fig. 1: Block diagram representation of proposed multichannel
Without interfacing special DAC or ADC chips into FPGA, the ADC
conversion of analog to digital signal and vice versa was done
The TDC block is the main building block that contains the
using PWM principle in the FPGA itself. In the paper [8], Hooi
conversion logic for the ADC. It is fed with the ‘stop’ and
et al. proposed a configurable 2 bit per cycle SAR-ADC for
‘start’ signal, coming from the clock buffer and MUX output,
FPGA implementation to improve speed and reduce size and
respectively. This finally generates the digital output of the
cost. Three comparators are used to design the ADC so that
proposed ADC model. The CLK signal used is the system
2 bits can be converted at a time. This reduces the conversion
clock of the FPGA board, which is 100 MHz. Thus, having a
time to half. Homulle et al. [9] proposed a reconfigurable ADC
conversion speed of 100 MS/s with a resolution of 10 bit for
architecture design implemented in FPGA. In order to achieve
an input signal range 0 - 2.5 V.
the sampling rate higher than the clock frequency time-to-
The description of each block is detailed below:
digital converters (TDCs) and phase interpolation techniques
have been utilized. Out of these architectures, TDC imple- A. Comparator (using LVDS signalling)
mentation in FPGA using delay line generation at each level LVDS compares the input analog voltage and the reference
provides far better conversion results, with better efficiency. voltage. When the reference voltage is higher than the input
Nevertheless, for multichannel ADC implementation, paper voltage, the LVDS generates logic ‘1’. When the reference
[10] proposes an architecture that requires ‘n’ number of voltage is lower than the input voltage, then the LVDS pro-
TDC blocks, ‘n’ number of clock management blocks, and duces logic ‘0’ as output. The time interval between ‘0’→’1’
‘n’ passive components; for ‘n’ channel analog input. This or ‘1’→’0’ caused by LVDS and next rising edge of the clock
ultimately increases the overall area overhead of the entire is measured by the TDC for conversion, which is followed by
data acquisition system. the MUX block [Fig. 1].
Thus in this paper, an approach is being made to optimize An important to note here is that the main intention behind
the area utilization, with an additional advantage of saving the using LVDS comparator for feeding analog signals is that,
channel bandwidth with a simple multiplexing technique. FPGA being a digital device, does not support direct analog
III. P ROPOSED W ORK input signals. So, to solve this issue, a differential signaling
voltage is used as input to the FPGA, which supports a good
The block diagram of the proposed multichannel time- range of differential inputs.
multiplexed ADC design is shown in Fig.1. The essential
functional blocks of the proposed ADC model consist of an B. Clock Buffer
LVDS buffer, TDC, MUX, and a clock. The LVDS is acting The clock buffer is a simple block used for generating a
as a comparator. reference ramp signal (Vref) for comparison with the analog

810
Authorized licensed use limited to: BMS College of Engineering. Downloaded on January 08,2024 at 19:41:22 UTC from IEEE Xplore. Restrictions apply.
input signal. The ramp signal is generated using the inverted
clock input passed through an external resistor (R). The input
clock signal used here is the 100 MHz system clock input of
the FPGA.

Fig. 2: Reference input to the LVDS depending upon clock


signal

C. Multiplexer (MUX)
The output of the LVDS comparator is fed to the MUX
block that sends the required analog input to the TDC block,
depending upon the select line logic. In this paper, a 2:1 MUX Fig. 3: (a)Architecture of proposed TDC, (b)Timing diagram
is implemented to obtain the logic. However, for ‘n’ number of proposed TDC
of analog sensors connected, n:1 MUX can be implemented
to obtain the logic. This saves channel bandwidth and also
reduces the area overhead of the entire data acquisition system. IV. R ESULTS AND D ISCUSSION

D. Time to Digital Converters(TDC) The hardware implementation of the proposed fully digital
The TDC block is the main building block that contains the ADC design is implemented in a 100 MHz, Zedboard ZC702
conversion logic for the ADC. It is fed with the ‘stop’ and FPGA board using Hardware Description Language (HDL).
‘start’ signal, coming from the clock buffer and MUX output, The software platform used is Vivado 2015.3.
respectively.Though there are number of different architecture
to implement the TDC logic, using the buffered delay line A. ADC Parameters
concept is the most simple and easy to implement. The TDC
model implemented in this paper, and its timing diagram is The ADC parameters of the proposed design are:
shown in Fig. 3. 1) Conversion Rate: It defines the number of repetitive
The start signal is generated by the LVDS comparator conversions per unit time for full-scale change. It is basically
selected by the MUX block, while the stop signal is nothing the fastest sampling ability of the ADC. For a system clock
but the 100 MHz clock signal itself. The start signal indicates frequency of 100 MHz, the Conversion Rate of the proposed
the starting of the count and stops when a stop signal is design is 100 MS/s.
received. The TDC measures the time (in seconds) from the 2) Analog Resolution: It determines the ability of how
start signal’s rising edge to stop signal’s rising edge. This is small an analog input can be resolved. The formula for analog
clearly shown in Fig. 3(b) resolution can be expressed as given Eq.2.
A counter is also utilized in the TDC block, using series
connected buffered delay line sampled at each level, to control
the start and stop signal logic. This is then again connected Analog Resolution = Analog Span / (2n − 1) (2)
to a basic 10 bit binary counter. The 10 bit binary counter
is realized using series connected flip-flops arrangement. Its Where, Analog Span is the range of the analog input
work is to count the time the output remained HIGH, and voltage, and ‘n’ is the number of output binary bits ‘word.’ The
accordingly generate its digital output. The final digital output calculated value of analog resolution for the proposed design
can be expressed mathematically as given in Eq. 1, is obtained to be 2.44 mV.
Digital output = tstart + tcounter − tstop (1)
B. Power Utilization
For simultaneous multichannel output of all the sensor
inputs, a memory buffer is also implemented inside this block The total on-chip power consumption of the entire ADC
that has the ability to store all the converted digital output and architecture consumes 14.428 W. Power consumption details
display simultaneously when required. each of static and dynamic logic are shown in Fig. 4.

811
Authorized licensed use limited to: BMS College of Engineering. Downloaded on January 08,2024 at 19:41:22 UTC from IEEE Xplore. Restrictions apply.
100MS/s with an analog resolution of 2.44mV. The simulta-
neous use of two sensors has been demonstrated successfully.
However, the number of sensor inputs can be increased as
per the requirement for different sensing applications. As the
proposed ADC is reconfigurable, use it for different sensing
applications with different data rate would be easy. It is
envisioned that the proposed technique would emerge as a
promising technique for modern data acquisition system in
the field of Biomedical, Bioelectronics, and different sensing
platforms.
Fig. 4: Power utilization of the designed system
R EFERENCES
[1] EEEGuide, “Data acquisition system.” https://www.eeeguide.com/data-
C. Resource Utilization acquisition-system/, 2017. [Online; accessed September 4, 2017].
[2] A. Chin and L. Zoso, “How to implement *all-digital* analog-to-digital
The resource utilization of the entire system is shown in Fig. converters in fpgas and asics.” https://www.eetimes.com/how-to-
5. Clearly, it is observed that our designed ADC system utilizes implement-all-digital-analog-to-digital-converters-in-fpgas-and-asics/,
2011. [Online; accessed January 18, 2011 ].
a very small area, which comprises of 341 Flip Flops (FFs), [3] L. Semiconductor, “Leveraging fpga and cpld digital logic to implement
265 Look-up Tables (LUTs), 17 Input/Outputs, 4 Buffers analog to digital converters,” A Lattice Semicond. White Pap, pp. 1–9,
(BUFG) and 1 Mixed-Mode Clock Manager (MMCM) for 2010.
[4] C. Favi and E. Charbon, “A 17ps time-to-digital converter implemented
clock signal which is less than 10% of available resources. in 65nm fpga technology,” in Proceedings of the ACM/SIGDA inter-
national symposium on Field programmable gate arrays, pp. 113–120,
2009.
[5] C. Chen, S. Meng, Z. Xia, G. Fang, and H. Yin, “An fpga-integrated
time-to-digital converter based on a ring oscillator for programmable
delay line resolution measurement,” Journal of Electrical and Computer
Engineering, vol. 2014, 2014.
[6] V. L. Dinh, X. T. Nguyen, and H.-J. Lee, “A novel fpga implementa-
tion of a time-to-digital converter supporting run-time estimation and
compensation,” ACM Transactions on Reconfigurable Technology and
Fig. 5: Utilization of resources of the development platform Systems (TRETS), vol. 12, no. 2, pp. 1–21, 2019.
[7] Z. Wen, W. Chen, Z. Xu, and J. Cui, “Design of a multiplex data
collecting controller based on fpga,” in 2007 2nd IEEE Conference on
Hardware prototype of the proposed ADC system is shown Industrial Electronics and Applications, pp. 2639–2643, IEEE, 2007.
in Fig. 6. [8] L. Y. Hooi, L. H. Hiung, M. Drieberg, and P. Sebastian, “Configurable
2 bits per cycle successive approximation register for analog to digital
converter on fpga,” in 2016 6th International Conference on Intelligent
and Advanced Systems (ICIAS), pp. 1–5, IEEE, 2016.
[9] H. Homulle, F. Regazzoni, and E. Charbon, “200 ms/s adc implemented
in a fpga employing tdcs,” in Proceedings of the 2015 ACM/SIGDA
International Symposium on Field-Programmable Gate Arrays, pp. 228–
235, 2015.
[10] H. Homulle, S. Visser, and E. Charbon, “A cryogenic 1 gsa/s, soft-core
fpga adc for quantum computing applications,” IEEE Transactions on
Circuits and Systems I: Regular Papers, vol. 63, no. 11, pp. 1854–1865,
2016.

Fig. 6: Image of the hardware prototype

V. C ONCLUSION
Herein a simple, reconfigurable multichannel ADC has been
proposed. The designed ADC has data conversion rate of

812
Authorized licensed use limited to: BMS College of Engineering. Downloaded on January 08,2024 at 19:41:22 UTC from IEEE Xplore. Restrictions apply.

You might also like