You are on page 1of 17

RAYMING PCB & ASSEMBLY

Intel Cyclone 10 FPGA Boards Evaluation Kit


The Intel Cyclone 10 FPGA is a low-cost, low-power field programmable gate
array (FPGA) manufactured by Intel Corporation. First released in 2020, Cyclone 10
is the successor to Intel’s Cyclone V series, targeting cost-sensitive applications
that need modest logic capacity and performance.

Some of the key attributes of Cyclone 10 FPGAs include:

Low cost – Pricing starts under $10 in high volumes, enabling very
cost-sensitive designs.
Low power – Static power as low as 2 mW enables all-day battery life.
Performance – Up to 150K logic elements delivers suitable performance
for IoT edge.
Small form factors – Compact fine-pitch BGA packages fit space constrained
applications.
Hard IP blocks – PLLs, ADC/DACs, memory interfaces reduce system cost.
Security features – Hardware security blocks for IP protection and encryption.

With this combination of capabilities, Cyclone 10 aims to provide a balanced FPGA for
cost- and power-sensitive embedded vision, industrial, automotive and IoT
applications.

Cyclone 10 Architecture

The Cyclone 10 architecture is optimized for lowest cost and power with decent
performance. Key aspects of its architecture include:

Manufacturing Process

Cyclone 10 FPGAs are manufactured on TSMC’s 28 nm HPC+ process. The 28 nm node enables
a small die size to reduce cost along with 1.0V core voltage operation for low power.

Programmable Logic

The core programmable logic fabric in Cyclone 10 consists of look-up tables (LUTs)
and registers as logic elements (LEs), along with local and global routing. It
delivers up to 150K LEs and 12 Mbits of embedded RAM blocks.

PLLs

Each device contains up to six phase-locked loops (PLLs) for clock management and
synthesis. The PLLs allow frequency synthesis, clock jitter filtering, and zero delay
buffering.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

ADC/DAC Blocks

For analog interfaces, selected Cyclone 10 variants incorporate two


analog-to-digital converters (ADCs) and two digital-to-analog converters (DACs).
These enable analog signal processing without external components.

PCI Express

To support high-speed peripherals, Cyclone 10 GX devices integrate up to two PCI


Express (PCIe) Gen2 x4 interfaces with data rates up to 5 Gbps each.

Security Architecture

Cyclone 10 includes cryptographic blocks for AES-GCM 128/256-bit encryption to secure


FPGA IP and communications. Physical unclonable functions (PUFs) enable device
authentication.

Configuration

Cyclone 10 supports active and passive serial configuration schemes, and can also be
configured via the PCIe interface. This enables low-cost configuration in volume
manufacturing.

I/O Interfaces

A range of external interfaces are supported including LVDS, hyperbus, and general
purpose I/Os. Selected devices also incorporate 5 Gbps transceivers for protocols like
Ethernet and USB 3.0.

Cyclone 10 FPGA Family

The Cyclone 10 family includes four variants with different features and capabilities:

Cyclone 10 LP

 Lowest power optimized with sleep mode down to 2 mW static power.


 Up to 150K LEs and 10 Mbits RAM.
 Package options down to 4×4 mm.

Cyclone 10 GX

 Adds PCIe Gen2, ADC/DAC blocks, and 5 Gbps transceivers.


 Ideal for edge applications with high-speed interfaces.

Cyclone 10 CX

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

 Cost-optimized model with one-time programmable (OTP) configuration memory.


 Reduces configuration bitstream storage costs.

Cyclone 10 SX

 Secure variant with additional IP protection and encryption blocks.


 Prevents tampering, cloning, and counterfeiting of FPGA designs.

Within each variant, densities range from 4K LEs up to 150K LEs. The following table
summarizes some of the key Cyclone 10 family specifications:

Variant Logic Elements Embedded RAM DSP Blocks Transceivers PCIe ADC/DAC
Cyclone 10 LP 4K-150K 0.5-12Mb 0-288 0-4 0 0
Cyclone 10 GX 10K-150K 1-12Mb 66-288 0-4 Up to 2x Gen2x4 2 ADC / 2 DAC
Cyclone 10 CX 10K-85K 1-6Mb 66-150 0 0 0
Cyclone 10 SX 10K-60K 1-3Mb 66-132 0 0 0
This range of densities and capabilities allows designers to select the optimal balance
of features to meet their cost, power, and performance requirements.

Development Kits

To accelerate designs with Cyclone 10, Intel provides low-cost development kits
including:

 Cyclone 10 GX FPGA Development Kit – Features the 10CX220YF324I device


with PCIe, 150K LEs, transceivers and ADC/DAC.
 Cyclone 10 LP Development Kit – Lowest power oriented with the
10CL016YU256I8G device providing 16K LEs.
 Intel SoCKit Development Kit – Cost-optimized with the 10M02SCU324I7G
Cyclone 10 CX FPGA.

These kits provide Cyclone 10 FPGA samples along with interfaces, peripherals,
accessories and software for evaluating the capabilities. Reference designs and
tutorials are also available to help designers get started quickly.

Design and Programming

For designing with Cyclone 10 FPGAs, Intel provides the Quartus Prime design
software. Quartus Prime includes all the tools for:

 Design entry – Using VHDL, Verilog or schematic capture.


 Simulation – Hardware simulation and verification.
 Synthesis – Converting HDL designs into physical circuits.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

 Place and route – Mapping design to FPGA logic elements.


 Timing analysis – Ensuring design meets timing requirements.
 Programming – Generating FPGA configuration bitstream.

In addition, a ModelSim simulator is provided for performing behavioral simulations.


The IP Catalog within Quartus Prime gives access to a large library of ready-to-use
IP cores for common functions.

To develop software for embedded processors in Cyclone 10 FPGAs, the Nios II embedded
design suite (EDS) is available. This provides a full environment for creating,
debugging and profiling Nios II software.

Power Optimization

Since low power operation is a key priority for Cyclone 10 FPGAs, Intel provides
multiple techniques to optimize and reduce power:

 Support for 1.0V VCC core supply voltage minimizes dynamic power.
 Sleep modes allow FPGA to be powered off when idle.
 Clock gating and power gating reduce activity when circuits are inactive.
 Smart voltage ID sets core voltage based on frequency to save power.
 Low static power I/Os reduce I/O interface leakage.
 Power-driven compilation optimizes design power during place and route.

Using these techniques, many Cyclone 10 FPGA designs can operate all day on just a
coin cell battery.

Security Features

To protect FPGA designs and data, Cyclone 10 incorporates security capabilities


including:

 256-bit AES encryption blocks for securing internal and external


communications.
 Physical unclonable functions (PUF) for device authentication and binding
designs to specific FPGAs.
 SHA cryptographic hashing for secure boot of FPGA images.
 Non-volatile eFUSE bits to store encryption keys and configuration settings.
 Tamper detection circuits to actively monitor for tampering attempts.

These features allow Cyclone 10 to provide robust protection against cloning,


overbuilding, counterfeiting, and tampering of FPGA designs.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Target Applications

The combination of low cost, low power, and security make Cyclone 10 FPGAs ideal for
a wide variety of embedded and IoT applications including:

 Battery powered wearables


 Industrial automation
 Vision systems
 Motor control
 Smart home/building
 IoT edge nodes
 Automotive sensor processing
 Broadcast equipment
 Aerospace avionics

For these applications, Cyclone 10 delivers the right-sized logic capacity with
minimal power draw in compact and cost-effective packages. The integrated ADCs, DACs,
PCIe, and transceivers enable advanced connectivity and signal processing without
external components.

Conclusion

In summary, the Intel Cyclone 10 FPGA provides a compelling blend of low cost, low
power, performance and security for embedded vision, industrial, automotive and IoT
designs. With up to 150K LEs, hard IP blocks, and advanced power optimization, Cyclone
10 achieves new levels of power efficiency at minimal cost. For embedded systems
needing energy efficiency on a tight budget, Cyclone 10 is an ideal fit.

Frequently Asked Questions

Here are some common questions about the Cyclone 10 FPGA:

What foundry process is Cyclone 10 manufactured on?

Cyclone 10 FPGAs are fabricated on TSMC’s 28 nm HPC+ process, enabling a low-cost


and low-power optimized device.

What is the main difference between Cyclone 10 LP and GX variants?

The Cyclone 10 LP focuses purely on lowest power operation, while the GX adds integrated
PCIe, ADC/DAC blocks and high-speed transceivers for more advanced I/O connectivity.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Does Cyclone 10 have any hard processor cores?

No, Cyclone 10 does not have integrated processor cores like ARM CPUs. But it can
implement soft processor cores like the Nios II and MicroBlaze within the FPGA fabric
itself.

What configuration modes does Cyclone 10 support?

Cyclone 10 supports both active and passive serial configuration over a SPI-like
interface. Parallel configuration modes like SelectMAP are not supported.

What is the typical static power consumption of Cyclone 10 parts?

Static power consumption ranges from around 2-3 mW for the ultra low power variants
up to around 100 mW for the high-end GX parts. Exact power depends on specific device
density and speed grade.

Request Intel Cyclone 10 FPGA Quote

Features of Intel Cyclone 10 FPGA Boards

In
tel Cyclone LP USB-FPGA board

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

The new Cyclone 10 FPGA board is a two-layer PCB with I/O, 20MHz clock generator, and
up to 20MBps Ethernet.

Ethernet connectivity

Intel Cyclone 10 FPGA board includes a high-speed 100Mb/s Gigabit Ethernet MAC,
programmed to any Ethernet protocol. The Ethernet interface provides an easy way to
connect your design to a PC for debugging and data storage. It also provides a powerful
tool for monitoring serial and parallel interfaces.

The ease of use of the Ethernet interface is suitable for both prototyping and
production. For example, if you prototyped your design by using our Xilinx ISE Design
Suite 10.1 design tools, the new board makes it easy to reconfigure your design at
any time. Furthermore, you can change clock speed or peripheral configuration by
clicking the USB mouse button and selecting the new settings.

USB connectivity

The Intel Cyclone 10 FPGA board supports two full-speed USB 2.0 interfaces. SO, it
allows you to configure any of the four HSUARTs or six USI modules as a USB device.
You can even connect multiple USB devices simultaneously if you wish. In addition,
the board supports a Xilinx I/O expander which we can use to provide even more
bandwidth from the FPGA without adding an external CPU.

The USB interface provides a convenient way to connect to your Intel Cyclone 10 FPGA
board. Plug in your USB cable and program the device through IP, SPI, or JTAG. So,
the built-in switching regulator accepts anything from 3.3V to 5V, so you can power
your design directly from your PC.

Request PCB Manufacturing & Assembly Quote Now


Debugging with USB

The new Intel Cyclone 10 FPGA board includes a built-in USB 2.0 interface. It provides
an easy way to debug your design through IP, SPI, JTAG, or Xilinx I/O expander. In
addition, the board features an embedded oscillator. As a result, it provides a
switching voltage regulator for powering any of the four HSUARTs or six USI modules
without external components.

The large debugging LED is present on the bottom side of the board to make debugging
easier. After that connecting a JTAG cable provides a simple way to monitor the entire
FPGA. On the other side, you can monitor the USB interface connection through debug
IO.

USB power

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

The built-in switching voltage regulator on the new Intel Cyclone 10 FPGA board
provides a high-speed 5V to 3.3V power interface. This allows you to power any USB
device directly from your PC, including a development board through an
ExpressCard slot or any other device with a USB connector.

The Intel Cyclone 10 FPGA board is easy to use. Firstly, connect your design to the
onboard mikroBUS connector, and you are ready to get started. Then the mikroBUS
connector provides power, reset, JTAG, and 26 GPIO by default. Afterward, you can add
any of the mikroBUS devices using only your USB cable and a PC. Additionally, you can
do this without a hardware probe or a hardware debugger.

DSP Blocks

We can configure the Intel Cyclone 10 FPGA board with eight Digital Signal
Processing (DSP) blocks through the USB connection. These DSP blocks are useful in
various ways, including:

 Matrix multiplication and convolution (and fast Fourier transforms).


 Audio and video processing (such as audio echo cancellation).
 Data encryption and decryption.
 Digital cell baseband modems.
 Frequency offset correction.
 Modular arithmetic units.
 Denoising and packet loss concealment for speech coding.
 Finally, Video display processing with alpha blending.

The DSP blocks are useful as a functional core with a simple control interface.
Therefore, it consists of a few registers or as a ready-to-use block programmed with
an included firmware file using the USB interface.

Single Event Upset (SEU) Mitigation

The new Intel Cyclone 10 FPGA board is the first FPGA platform to include on-chip SEU
Mitigation features. Therefore, Xilinx has two levels of protection for all on-chip
memory. They include configuration flash, trust flash, and user flash.

Firstly, the initial level of protection is the checksum feature. This feature protects
against “mass effect” single event upsets. They can occur during manufacturing
or handling defects. Secondly, the next level is the use of silicon error correction
codes (ECC). It protects against “targeted” single event upsets, such as gamma
radiation.

Transceivers (12.5 Gbps)

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

The Intel Cyclone 10 FPGA board supports up to four 12.5Gbps transceivers. So, each
transceiver consists of four differential LVDS pairs. They are essential in
implementing the SGMII interface. It means it can support up to 8 lanes at 12.5Gbps
due to LVDS drivers for this interface.

FPGAImg has a library that encapsulates the Transceiver Macrocell 1 (XCVR1). This
library provides a simple interface for programming the transceivers through the USB
connection. Therefore, this library helps to simplify your design and eliminate the
possibility of errors due to incorrect register usage.

SPI Flash Programming

The new Intel Cyclone 10 FPGA board includes a two Mbit SPI flash memory device
connected to one of the FPGA’s SPI ports. So, you can program this flash device from
your PC with our SW4STM32 tool within our Free Software Download.

Our FPGA image for the Intel Cyclone 10 FPGA board includes many ready-to-use designs.
You can find these designs in different folders, including:

 The External Memories (for connecting to external memory blocks through the DDR
memory bus).
 AXI4 examples (for connecting to the FPGA through the AXI4 bus).
 External Peripherals examples (to connect to external peripherals, for example,
to connect an LCD).

Nios II Processor

The Intel Cyclone 10 FPGA board implements a Nios II processor and associated
peripherals, including:

 Signal generator and multiplexer


 Real-time clock with CIP-51 interfaces
 Nios II debug application

Request PCB Manufacturing & Assembly Quote Now

Benefits Intel Cyclone 10 FPGA Boards

The Intel Cyclone 10 FPGA boards offer several benefits for your design:

Easy to use MPU

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

The MPU block comes with the Intel Cyclone 10 FPGA board, and it allows you to program
any FPGA’s 256 K-bit wide memory spaces easily. SO, you can program this MPU through
JTAG or a Xilinx I/O expander.

USB 2.0 Interface

The new Intel Cyclone 10 FPGA board includes a built-in USB 2.0 interface. Therefore,
it provides an easy way to debug your design through IP, SPI, JTAG, or Xilinx I/O
expander.

Debugging with USB

The new Intel Cyclone 10 FPGA board includes a built-in USB 2.0 interface. Moreover,
it provides an easy way to debug your design through IP, SPI, JTAG, or Xilinx I/O
expander.

Increase productivity

Develop your design with the Intel Cyclone 10 FPGA board. It supports up to 1024Kbit
wide memories, allowing you to store programs directly on the FPGA’s RAM. Additionally,
it includes several ready-to-use designs for various applications.

Reduce Engineering time

Increase productivity with the Intel Cyclone 10 FPGA board. Similarly, it supports
up to 1024Kbit wide memories, allowing you to store programs directly on the FPGA’
s RAM. In addition, it includes several ready-to-use designs for various applications.

Free tools for mixed-language development

The Intel Cyclone 10 FPGA board is compatible with several Eclipse-based Intel Quartus
Prime software development tools. Additionally, it supports the free C/C++ and System
Verilog USB software stack (for high-level synthesis and formal verification).

Integration

The Intel Cyclone 10 FPGA board allows you to integrate your design quickly and easily
with the rest of the system. Additionally, it provides several ways to connect your
design to the rest of the world.

Reduce maintenance costs

The Intel Cyclone 10 FPGA board is compatible with several IEEE standard
communication protocols. Moreover, it uses the FPGA as a mixed-signal processor. It
provides several ways to connect your design to the rest of the world.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Request Intel Cyclone 10 FPGA Quote

Drawbacks of Intel Cyclone 10 FPGA Boards

The Intel Cyclone 10 FPGA boards support up to four 12.5Gbps transceivers and eight
12.5Gbps LVDS channels. As a result, the FPGA’s internal 5 Gbps memory bandwidth can
still handle excess transceiver and channel traffic.

The new Intel Cyclone 10 FPGA board is not compatible with earlier FPGA boards, such
as the 7 Series (the XC7SX-4C). So, this makes it incompatible with all existing designs.
Consequently, it is not compatible with FPGA boards from other vendors. For
instance, Altera and Xilinx, the maximum memory width supported by those boards
is 64 bits.

The new Intel Cyclone 10 FPGA board does not support Xilinx tools such as Quartus II
software and will only run the free SW4STM32 tool provided in our Free Software
Download.

In addition, the new Intel Cyclone 10 FPGA board does not support Flash programming
or debug Flash programming. Then, one can accomplish this using the JTAG interface.
But this is less efficient than the SPI flash interface.

The new Intel Cyclone 10 FPGA board is not for high-throughput applications, such as
high-performance data acquisition systems.

Moreover, the Intel Cyclone 10 FPGA board does not support AXI4 and has only one AXI4
bus. So, this makes it incompatible with other FPGA boards which implement AXI4, such
as the Xilinx XC7SX-6C.

The new Intel Cyclone 10 FPGA board has only one DDR memory bus. Unfortunately, this
makes it incompatible with other FPGA boards which implement an additional DDR memory
path, such as the Altera XC6LX25-6K.

Request PCB Manufacturing & Assembly Quote Now

Intel Cyclone 10 FGAs Design Tools

Getting started with the Intel Cyclone 10 FPGA boards is easy when you use the free
software and development tools available in the Intel Quartus Prime software.

Development kit

The Intel Cyclone 10 FPGA Development Kit includes all the hardware that you need to
start your design. Above all, this development kit consists of an Intel Cyclone 10
FPGA board, probe card, cables, and software tools.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Features of the Intel Cyclone 10 FPGA development kit include:

The board is directly compatible with the Altera DE2 boards. The Altera DE2-115 board
offers the same features as the Intel Cyclone 10 FPGA board, plus additional features
such as USB programming. So, the Altera DE2-115 board is also directly compatible with
the Xilinx DE2 boards.

The Intel Cyclone 10 FPGA boards include a probe card that allows you to easily access
signals within your design. In other words, you can connect the probe card through
a common PCB test point or connected directly through the JTAG or HSI interface.
You can also connect several cards on the same bus, allowing you to view signals from
multiple cards simultaneously.

The Intel Cyclone 10 FGPA Probe Card is a customizable development and debug probe
card used in many embedded applications. So, the card supports four signal groups,
each of which we can individually assign to one of the four HSI channels. It also
supports one debug or programming bus at 5V or 3.3V voltages. In conclusion, the debug
bus signals are available for your application when you’re running in user mode
(application mode).

Software

The Intel Cyclone 10 FPGA boards allow you to access and interact with the board using
your host PC through the USB port. These tools include:

Also, the Intel Cyclone 10 FPGA boards also support standard communication protocols
such as UART, SPI, I2C, and AXI4. This lets you easily interface with
industry-standard peripheral devices such as EEPROMs, SRAMs, DRAMs, and flash memory.

Intel Cyclone 10 FPGA boards also support several IEEE standards for communications
applications. For instance the Inter-Integrated Circuit (I2C) protocol for system
integration. It also provides standard interfaces to external memory devices like
DRAMs, SRAMs, and Flash Memories.

The Intel Cyclone 10 FPGA boards include a unique Xilinx-based high-speed memory
controller subsystem. This subsystem supports both the standard memory-mapped
application programming interface (API) and a new memory-centric API.

To top it off, the new Intel Cyclone 10 FPGA board uses the Xilinx XC6SLX25-4K128K
device from Altera. This device extends the Xilinx Spartan 6 FPGA family, a low-cost,
highly integrated FPGA with many signals and I/O pins exposed on a single AXI VGA
connector.

Applications of Intel Cyclone 10 FPGA Boards

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

The new Intel Cyclone 10 FPGA boards are one of the fastest ways to connect your design
to the rest of the world. So, the FPGA boards are compatible with standard JTAG
interfaces, SPI buses, and USB ports.

Machine Vision: High performance and low power:

As an actual embedded vision development board, the Intel Cyclone 10 FPGA is ideal
for machine vision and high-performance embedded vision applications. Therefore, you
can use the I2C memory interface to connect an image sensor such as a 2D or
3D camera with an onboard image processing unit (IPU). You can also use the I2C
interface to connect a video camera, such as the popular USB-2 VisionCam, and capture
still and video images.

Smart Vision: High performance and low power:

Use the FPGA boards to perform pre-processing and analysis on image data blocks before
transferring them to a PC or microprocessor for post-processing and analysis.

Industrial Fog Computing in SDA Environments:

The Intel Cyclone 10 FPGA provides a powerful platform for industrial fog computing
in smart factories, with its high-speed onboard memory and high-speed onboard memory
controller subsystem.

Medical Imaging: High performance and low power:

Use the onboard image processing subsystem to manipulate images from a camera or an
ultrasound or MRI machine. Then forward data from the onboard image processor to a
PC or server over the USB 2.0 interface.

Industrial Drives: High performance and low power:

Use the FPGA to control servo motors, stepper motors, or DC brush motors. Moreover,
you can use the FPGA to read data from sensors in your motor system. The high-speed
memory controller subsystem allows storing data blocks in memory buffers without
stalling host processor transfers. As a result, the FPGA can support real-time image
processing of image data received from intelligent cameras outside the factory,
transferring only relevant images to the server for further analysis.

Pro A/V: High performance and low power:

Utilize the Intel Cyclone 10 to digitize, decode, loop, and mix audio in high fidelity.
Use it in video sequence capture/storage applications for image-based video editing.
You can then use it in multi-camera live video streaming applications for the
synchronization of multiple cameras.

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Request PCB Manufacturing & Assembly Quote Now

Intel Cyclone 10 FPGA Boards family and specifications

[ACM-033] Intel Cyclone 10 LP F484 FPGA board

The ACM-033 family is a Japanese product that has RoHS compliance. It comes with
an immersion gold high-quality six-layer PCB and a 10-pin socket JTAG connector.
The Status LED for done and Power functions make it easier to operate. Also, you
will also find a Power-on Reset IC, user LEX x2, 50MHz onboard oscillator, 128Mbit
Micron SPI-Flash Memory, and 256Mbit Alliance Memory SDRAM. ACM-033 family also uses
a 3.3 V single power supply operation.

The family consist of 10CL120YF484C8G (ACM-033-120), 10CL080YF484C8G (ACM-033-80),


10CL055YF484C8G (ACM-033-55), 10CL040YF484C8G (ACM-033-40), and 10CL016YF484C8G
(ACM-033-16). They have the following features:

Specification 10CL016 10CL040 10CL055 10CL080 10CL120


Board Maximum user I/O pins 100 100 100 100 100
Device Maximum user I/O pins 340 325 321 289 277
PLL 4 4 4 4 4
18×18 Multipliers 56 126 156 244 288
M9K Blocks (kb) 504 1134 2340 2745 3888
Logic Elements 15408 39600 55856 81264 119088
[ACM-114] Intel Cyclone10 LP F484 FPGA board

The ACM-144 family also has similar specification to the ACM-033 family except for
2.5 V, 1.2 V on-board regulators in addition to 3.3V single power supply operation.
This family consist of 10CL120YF484C8G (ACM-033-120), 10CL080YF484C8G (ACM-033-80),
10CL055YF484C8G (ACM-033-55), 10CL040YF484C8G (ACM-033-40), and 10CL016YF484C8G
(ACM-033-16).

They have the following features:

Specification 10CL016 10CL040 10CL055 10CL080 10CL120


Board Maximum user I/O pins 128 128 128 128 128
Device Maximum user I/O pins 340 325 321 289 277
PLL 4 4 4 4 4
18×18 Multipliers 56 126 156 244 288
Memory: M9K (kb) 504 126 260 305 432
Logic Elements 15,408 39,600 55,856 81,264 119,088

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Request Intel Cyclone 10 FPGA Quote


[ACM-115L] Intel Cyclone 10 GX FPGA board

The ACM-115L is very simple and compact. It uses a 3.3V single power supply operation.
These products come from Japan and adhere to RoHS compliance. Moreover, the family
consist of 10CX220YF672I5G (ACM-115L-220), 10CX150YF672I5G (ACM-115L-150), and
10CX105YF672I5G (ACM-115L-105)

They have the following features:

Specs 10CX105 10CX150 10CX220


Board Maximum user I/O pins 128 128 128
Peak floating-point performance (GFLOPS) 88 109 134
Device Maximum user I/O pins 188 188 188
Peak fixed-point performance (GMACS) 225 281 346
18×19 Multipliers 250 312 384
Variable-precision digital 125 156 192
signal processing (DSP) blocks
MLAB memory size (Kb) 799 1,152 1,690
M20K memory size (Kb) 7,640 9,500 11,740
M20K memory blocks 382 475 587
ALM registers 152,000 219,080 321,320
Adaptive logic modules (ALMs) 38,000 54,770 80,330
Logic Elements 104,000 150,000 220,000
[ACM-208] Intel Cyclone 10 LP F780 FPGA board

The ACM-208 family consist of 10CL120YF780C8G and 10CL080YF780C8G and have the
following attributes:

Specs 10CL080 10CL120


18 x 18 Multipliers 4 4
Board Maximum user I/O pins 296 296
Device Maximum user I/O pins 423 525
PLLs 4 4
Memory: M9K (kb) 305 432
Logic Elements 81,264 119,088
[ACM-308] Intel Cyclone 10 LP E144 FPGA board

The family consists of 10CL025YE144, 10CL016YE144, 10CL010YE144, and 10CL006YE144.

ACM-308 has the following specifications:

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

Specs 10CL006 10CL010 10CL016 10CL025


Board Maximum user I/O pins 56 56 56 56
Device Maximum user I/O pins 176 176 162 150
PLL 2 2 4 4
18×18 Multipliers 15 23 56 66
M9K Blocks (kb) 270 414 504 594
Logic Elements 6272 10320 15408 24624
[AP68-09] Intel Cyclone 10 LP PLCC68 FPGA Module

This module is a 68-pin device that offers you high performance. Additionally, it uses
a DIP PLCC socket because it is compact. Like other modules, it uses 3.3V single
power supply operation. The family comprises of 10CL025YU256C8G, 10CL016YU256C8G,
10CL010YU256C8G, and 10CL006YU256C8G.

Specs 10CL006 10CL010 10CL016 10CL025


Board Maximum user I/O pins 50 50 50 50
Device Maximum user I/O pins 176 176 162 150
PLL 2 2 4 4
18×18 Multipliers 15 23 56 66
M9K Blocks (kb) 270 414 504 594
Logic Elements 6,272 10,320 15,408 24,624
[EDA-011] Intel Cyclone 10 LP F484 USB-FPGA board

The EDA-011 family has similar characteristics to a majority of the models and has
the following types: 10CL120YF484C8G, 10CL080YF484C8G, 10CL055YF484C8G,
10CL040YF484C8G, and 10CL016YF484C8G.

Request PCB Manufacturing & Assembly Quote Now


Specs 10CL016 10CL040 10CL055 10CL080 10CL120
Board Maximum user I/O pins 100 100 100 100 100
Device Maximum user I/O pins 340 325 321 289 277
PLL 4 4 4 4 4
18×18 Multipliers 56 126 156 244 288
M9K Blocks (kb) 504 1134 2340 2745 3888
Logic Elements 15408 39600 55856 81264 119088
[EDA-013] Intel Cyclone 10 LP USB-FPGA board, FTDI USB 3.0 FT601

This product is a high-performance, USB-to-FPGA board. The Cyclone 10 LP features two


on-chip 100 Gigabit Ethernet NICs that work independently or as one unit on Intel Atom
E3800 series processors up to 35W TDP and an on-chip PCI Express Gen3 interface for

PCB Manufacturing & Assembly Services https://www.raypcb.com/


RAYMING PCB & ASSEMBLY

both host and peripheral devices. It consists of 10CL0120YF780C8G and 10CL080YF780C8G.


In addition, they have the following specifications.

Specs 10CL080 10CL0120


Board Maximum user I/O pins 100 100
Device Maximum user I/O pins 423 525
PLL 4 4
18 x 18 Multipliers 244 288
Memory: M9K (kb) 305 432
Logic Elements 81264 119088

Conclusion

So, do you want to design your FPGA boards? All you need is this Intel Cyclone 10 FPGA
Board. It is a straightforward interface for everyone.

Related Posts:

1. Intel (Altera) Cyclone V FPGA Boards

2. What are Intel Altera Cyclone III FPGA Boards ?

3. What is Difference Between FT600 and FT601 Evaluation FPGA Board ?

4. Altera Cyclone IV FPGA Development Board

https://www.raypcb.com/intel-cyclone-10-fpga-boards/

PCB Manufacturing & Assembly Services https://www.raypcb.com/

You might also like