Professional Documents
Culture Documents
Ila Vio
Ila Vio
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
entity countUpDown4bit is
generic(N: integer := 5e7);
Port ( reset: in STD_LOGIC;
led : out STD_LOGIC_VECTOR (3 downto 0);
clk : in STD_LOGIC);
end countUpDown4bit;
-- next state
cnt_n <= cnt_r + 1;
Sơ đồ khối
Khối VIO
Ta thiết lập thông số của khối VIO như sau:
General Options
PROBE_IN Ports
PROBE_OUT Ports
Sau đó ta nói probe_in0 với led và probe_out0 tới chân reset của bộ đếm 4 bit
Khối ILA
Ta đặt các thông số của khối ILA như sau:
General Options
Probe Ports
Sau đó ta nói probe0 với led và probe1 tới chân reset của bộ đếm 4 bit
Clocking Wizard
Để có thể hiển thị led trên mạch thật ta có thể tạo external port ở led của bộ đếm 4 bit và
gán các chân khi synthesis:
Gán các chân của mạch khi synthesis
Program Device
Các tín hiệu được theo dõi và điều khiển bởi khối VIO khi tín hiệu reset bằng 1
Các tín hiệu được theo dõi và điều khiển bởi khối VIO khi tín hiệu reset bằng 0
Dạng sóng của các tín hiệu khi trigger sườn lên của tín hiệu reset