You are on page 1of 36

Rewa Engineering College SSPL, DRDO

PHOTOLITHOGRAPHY
INDUSTRIAL TRAINING REPORT

Aman Singh
Layak Singh Yadav
Akash Bahetra

DEPT. OF ELECTRONICS & COMMUNICATION


Solid State Physics Laboratory (SSPL) is a laboratory under the
About the Defence Research & Development Organization. Located in Delhi its
primary function is research in the field of Solid State Materials,
Organization Devices and Sub-systems.

▪ It is engaged in the research and development of advanced


semiconductor materials and devices.
▪ Several solid-state devices like Gunn, Schottky Barrier and
IMPATT Diodes, monolithic microwave integrated circuits, PIN
photodiodes, etc. have been developed at SSPL.
▪ Device quality II-VI/III-V semiconductor crystals and
heterostructures have also been developed by the Lab.

2
Laboratory : Monolithic Microwave Integrated Circuits(MMIC)
Training
Division : Photo-Lithography
Overview
Targets Covered:
▪ Theoretical explanation of latest ongoing technologies, for ex –
GaN semiconductors.
▪ Exposure to the full process of photolithography.
▪ Hands on photo-lithography on a dummy silicon wafer.
▪ MATLAB Modelling of Photo-Lithography Process.

3
Table of contents 1. Technology Nodes
2. Introduction to Lithography
3. Photo-Lithography
a. PhotoResist & PhotoMask

b. Process of Photolithography

4. MATLAB Modelling

4
1.
Technology
Nodes
The technology nodes refers to a
specific semiconductor manufacturing
process and its design rules. Different nodes
often imply different circuit generations and
architectures.
Let us talk about:
▪ History

▪ Advancement in Technology

▪ Moore’s Law

5
History Roughly for the first 35 years of the semiconductor history, since
the first mass production of MOSFET in the 1960s to the late
1990s, the process node more or less referred to the transistor's
gate length (Lg) which was also considered the "minimum feature
size".
The International Technology Roadmap for Semiconductors (ITRS)
provides the semiconductor industry with guidance and assistance
with various technology nodes. By 2006, as microprocessors
started dominating the technology scaling, ITRS replaced the term
with a number of separate indicators for Flash, DRAM, and
MPU/ASIC.

6
Advancement As shrinking becomes more complex, requiring more capital,
expertise, and resources, the number of companies capable of
In providing leading edge fabrication has been steadily dropping. As
Technology of 2018, only three companies are now capable of
fabricating integrated circuits on the most cutting edge
process: Intel, Samsung, and TSMC.

50µm 700nm 7nm

7
Moore’s Moore's law is the observation that the number of transistors in a
dense integrated circuit doubles about every two years.
Law

8
2.
Lithography
lithos, meaning stones,
and graphia, meaning
to write.
9
Lithography ▪ The word lithography comes from the Greek word lithos,
meaning stones, and graphia, meaning to write. It means quite
literally writing on stones. In the case of semiconductor
lithography, our stones are silicon wafers and our patterns are
written with a light-sensitive polymer called photoresist.

▪ The word lithography comes from the Greek lithos, meaning


stones, and graphia, meaning to write. It means quite literally
writing on stones. In the case of semiconductor lithography,
our stones are silicon wafers and our patterns are written with
a light-sensitive polymer called photoresist.

10
Types Of
Lithography PHOTO- ELECTRON BEAM
LITHOGRAPHY LITHOGRAPHY

LITHOGRAPHY

ION BEAM
X-RAY
LITHOGRAPHY
LITHOGRAPHY

11
It uses light to transfer a geometric pattern from a Photomask (also
Photo- called an optical mask) to a photosensitive (that is, light-sensitive)
chemical photoresist on the substrate. A series of chemical
Lithography treatments then either etches the exposure pattern into the material
or enables deposition of a new material in the desired pattern upon
the material underneath the photoresist.

Limitations Limitations
▪ It is not applicable for curved ▪ Processing conditions are very
surf. harsh, so it cannot be used in
biological samples.
▪ It is diffraction limited.
▪ The mask is expensive.
▪ Photo sensitive polymers are
necessary.

12
Electron- ▪ Electron-beam lithography is the practice of scanning a
focused beam of electrons to draw custom shapes on a
Beam surface covered with an electron-sensitive film called a resist
Lithography (exposing).

▪ The electron beam changes the solubility


of the resist, enabling selective removal
of either the exposed or non-exposed
regions of the resist by immersing it in a
solvent (developing). The purpose, as
with photolithography, is to create very
small structures in the resist that can
subsequently be transferred to the
substrate material, often by etching.

13
▪ Advantages:
Electron-
Beam - Print complex patterns directly on wafers
- Eliminates the diffraction problem
Lithography - High resolution up to 20 nm (photolithography ~50nm)

▪ Disadvantages:

- Slower than optical lithography.


- Expensive and complicated
- Forward scattering
- Backscattering
- Secondary electrons

14
X-Ray ▪ The resolution of x-ray lithography is about 40 nm. The
imaging is done in step-and-repeat technique in a scale of 1:1
Lithography in atmospheric pressure or low pressure in helium atmosphere
(about 10.000 Pa). The x-ray source can be a plasma or a
synchrotron. To adsorb x-rays heavy elements like gold are
necessary.

15
▪ Advantage:
X-Ray
Lithography -
-
Fast process
High resolutions of ~ .5 µm
- Not affected by organic defects in mask
- Reduction in diffraction, reflection, and scattering effects
- Solves depth of focus problem
- High aspect ratio

▪ Disadvantages:

- Shadow printing
- Lateral magnification error
- Brighter x-ray sources needed
- More sensitive resists needed
- Difficult fabrication of x-ray mask

16
▪ Ion-beam lithography is the practice of scanning a focused
Ion-Beam beam of ions in a patterned fashion across a surface in order
to create very small structures such as integrated circuits or
Lithography other nanostructures. Ion-beam lithography, or ion-projection
lithography, is similar to Electron beam lithography, but uses
much heavier charged particles, ions

▪ In addition to diffraction being negligible, ions move in straighter


paths than electrons do both through vacuum and through matter,
so there seems be a potential for very high resolution.

17
▪ Advantages:

Ion-Beam
- Computer-controlled beam
Lithography - No mask is needed
- Can produce sub-1 µm features
- Resists are more sensitive than electron beam resists
- Diffraction effects are minimized
- Less backscattering occurs
- Higher resolution
- Ion beam can detect surface features for very accurate
registration

▪ Disadvantages:

- Reliable ion sources needed


- Swelling occurs when developing negative ion beam resists,
limiting resolution
- Expensive as compared to light lithography systems
- Slower as compared to light lithography systems
- Tri-level processing required
18
3.
Photo-
Lithography
Also Known as Optical
lithography .

19
Photo- ▪ Photolithography is a patterning process in chip
manufacturing. The process involves transferring a pattern
Lithography from a photomask to a substrate. This is primarily done using
steppers and scanners, which are equipped with optical light
sources.
▪ Optical lithography is basically a photographic process by
which a light sensitive polymer, called a photoresist, is
exposed and developed to form three-dimensional relief
images on the substrate.
▪ The two important terms are:
- Photomask
- Photoresist

20
Photomask ▪ The image for the mask originates from a computerized data
file. This data file is converted to a series of polygons and
written onto a square of fused quartz substrate covered with a
layer of chromium using a photolithographic process. A laser
beam (laser writer) or a beam of electrons (e-beam writer) is
used to expose the pattern defined by the data file and travels
over the surface of the substrate in either a vector or raster
scan manner. Where the photoresist on the mask is exposed,
the chrome can be etched away, leaving a clear path for the
illumination light in the stepper/scanner system to travel
through.

21
Photoresist ▪ As a communication tool, a table allows a form of generalization
of information from an unlimited number of different social or
scientific contexts. It provides a familiar way to convey
information that might otherwise not be obvious or readily
understood.
▪ Types of Photo resist-:
- A positive photoresist is a type of photoresist in which
the portion of the photoresist that is exposed to light
becomes soluble to the photoresist developer. The
unexposed portion of the photoresist remains insoluble to
the photoresist developer.
- A negative photoresist is a type of photoresist in which
the portion of the photoresist that is exposed to light
becomes insoluble to the photoresist developer. The
unexposed portion of the photoresist is dissolved by the
photoresist developer.
22
Photo- 1 2 3
Lithography
Process Substrate Preparation

Substrate preparation is
Photoresist Coating

A thin, uniform coating of


Post-Apply Bake

The post-apply bake process,


intended to improve the photoresist at a specific, well also called a soft bake or a
adhesion of the photoresist controlled thickness is prebake, involves drying the
material. accomplished by the seemingly photoresist after spin coat by
simple process of spin coating. removing this excess solvent.

4 5 6

Alignment & Exposure Development Etching & Stripping

The wafer & photomask are Once exposed, the photoresist A uniform layer of the material
aligned using some pre must be developed. In to be patterned is deposited on
specified align marks and then particular, tetramethyl the substrate. Lithography is
the wafer is exposed by UV ammonium hydroxide (TMAH) then performed such that the
light radiation. is used in concentrations of 0.2 areas to be etched are left
- 0.26 N. unprotected (uncovered) by the
photoresist.

23
1. ▪ Cleaning ▪ Adhesion Promoter ▪ Baking

This is done by placing the Hexa methyl A dehydration bake, as the


Substrate wafer firstly in TCE
(Trichloroethylene) to
disilazane(HMDS),
Adhesion Promotor is
name implies, removes
water from the substrate

Preparation remove the impurities such


as wax or fingerprints off
added to the cleaned wafer.
Adhesion promoters are
surface by baking at
temperatures of 200°C to
the wafer. The wafer is then used to react chemically 400°C, usually for 30 to 60
dipped into Acetone to with surface silanol and minutes. It is also known as
remove the TCE coating replace the -OH group with Hard Bake.
and after that into IPA an organic functional group
(Isopropyl alcohol) to that, unlike the hydroxyl
remove the acetone layer. group, offers good adhesion
The solutions are taken in to photoresist. The HMDS
the given order because can be applied by spinning
one liquid is miscible into a diluted solution directly on
another. The resultant to the wafer and allowing
wafer is washed with De the HMDS to spin dry
Ionized Water to remove (HMDS is quite volatile at
the remains of IPA and then room temperature).
dried using Nitrogen gun.

24
2. ▪ A thin, uniform coating of photoresist at a specific, well
controlled thickness is accomplished by the seemingly simple
Photoresist process of Spin Coating.
Coating ▪ The photoresist, rendered into a liquid form by dissolving the
solid components in a solvent, is poured onto the wafer, which is
then spun on a turntable at a high speed producing the desired
film.
▪ The wafer is rotated at an angular velocity of 2500 – 5000 rpm
for 25 seconds.

25
3. ▪ The post-apply bake process, also called a soft bake or a
prebake, involves drying the photoresist after spin coat by
Post Apply removing this excess solvent. The main reason for reducing the
Bake solvent content is to stabilize the resist film.
▪ There are four major effects of removing solvent from a
photoresist film:
- film thickness is reduced
- post-exposure bake and development properties are
changed
- adhesion is improved
- the film becomes less tacky and thus less susceptible to
particulate contamination.

26
4. ▪ Alignment ▪ Exposure

Alignment & The first pattern transferred to a


wafer usually includes a set of
In the case of the standard diazo
naphthoquinone positive photoresist,

Exposure alignment marks, which are high


precision features that are used as the
the photoactive compound (PAC),
which is not soluble in the aqueous
reference when positioning base developer, is converted to a
subsequent patterns, to the first carboxylic acid on exposure to UV
pattern. Often alignment marks are light in the range of 350 - 450nm.
included in other patterns, as the The carboxylic acid product is very
original alignment marks may be soluble in the basic developer.
obliterated as processing progresses.

Types Of Printing:

- Contact
- Proximity
- Projection

27
5. ▪ Once exposed, the photoresist must be developed. Most
commonly used photoresists use aqueous bases as developers.
Development
▪ Development is undoubtedly one of the most critical steps in the
photoresist process.
▪ A Base solution is used to remove the exposed positive
photoresist off the wafer. The wafer is continuously moved too
& fro in the solution to remove the unwanted photoresist. Doing
it for more time then needed results in removing the much
needed photoresist too. As soon as the wafer is taken off the
solution, it is rinsed with the DI water to remove the developer
solution.

28
6. ▪ Etching ▪ Stripping

Etching & Etching is performed either using wet


chemicals such as acids, or more
After the imaged wafer has been
processed the remaining photoresist

Stripping commonly in a dry plasma environment


The photoresist “resists” the etching and
must be removed. A simple example
of an organic stripper is acetone.
protects the material covered by the Although commonly used in
resist. When the etching is complete, the laboratory environments, acetone
resist is stripped leaving the desired tends to leave residues on the wafer
pattern etched into the deposited layer (scumming) and is thus unacceptable
for semiconductor processing. Most
commercial organic strippers are
phenol-based and are somewhat
better at avoiding scum formation

29
4.
MATLAB
Modelling
30
Resist ▪ Resist thickness scales as

Thickness & 𝑣 0.4


𝑇ℎ𝑖𝑐𝑘𝑛𝑒𝑠𝑠 ∝ 0.5
𝑤
Reynold's No.
ω is the spin speed and υ is the resist viscosity.

▪ The Reynold’s number, Re, is given by


𝑤𝑟 2
𝑅𝑒 ∝
𝑣𝑎𝑖𝑟

where 𝑟 is the wafer radius and 𝑣𝑎𝑖𝑟 is the viscosity of air.

31
clc;
clear all;
close all;
v1 = 5:5:35;
Resist v2 = 20;
w1=2000;

Thickness &
w2=2000:500:5000;
k=4.38 * 10^-4;
va = 1.56 * 10^-5;

Reynold's No. r = 300;

%Resist thickness scales as


t1=k.*((v1.^0.4)./(w1.^0.5));
subplot(221)
plot(v1,t1,'g');
xlabel('Resist viscosity (c Stokes)','fontweight','bold');
ylabel('Resist thickness (microns)','fontweight','bold');

%The Reynold’s number, Re, is given by


Re= (w2 * r.^2)./va;
subplot(222)
plot(w2,Re,'b');
xlabel('Spin speed (rpm)','fontweight','bold');
ylabel('Reynolds number','fontweight','bold');

%Thickness of resist as a function of spin speed


t2=k.*((v2.^0.4)./(w2.^0.5));
subplot(223)
plot(w2,t2,'r');
xlabel('Spin speed (rpm)','fontweight','bold');
ylabel('Resist thickness (microns)','fontweight','bold');
set(gcf,'Color',[1,1,1]);

32
Resist
Thickness &
Reynold's No.

33
▪ We can show that for a dense array of lines and spaces
Aerial Image 𝒩

Calculation
𝐸 𝑥 = 𝑎0 + ෍ 𝑎𝑗 cos 2𝜋𝑗𝑥 ∕ 𝑝
𝑗=1

The zeroth order, given by a0, provides a DC offset to the electric


field. Each pair of diffraction orders j = +/- 1, 2, 3, etc., contributes
a cosine at harmonics of the pitch p to the image. In order to
calculate the coefficients 𝑎𝑗
sin 𝑗𝜋𝜔 ∕ 𝑝
𝑎𝑗 =
𝑗𝜋
where w is the linewidth and p is the pitch.

▪ The Electric Field Intensity is given by


𝐼 𝑥 =𝑛𝐸 𝑥 2
Taking n = 1 that is, assume that the medium is air.

34
clc;
clear all;
close all;
t=0:0.02:6.28;
Aerial Image y=zeros(11,length(t));
x=zeros(size(t));

Calculation
for j=1:2:21
x= x + 2*((sin((j.*t)./2)./j));
y((j+1)/2,:)=x;
end
U=abs(y.^2);
plot(U(1:2:11,:)')
xlabel('Horizontal position(nm)','fontweight','bold');
ylabel('Relative Intensity','fontweight','bold');
set(gcf,'Color',[1,1,1]);

35
Thank you!

Any questions?

36

You might also like