You are on page 1of 22

XILINX ARTIX-7 FPGA

Presented To:-                                               Presented By:-


Dr.T.Kishore Kumar,                                      D.V.Sainadh(22ECM1S02)
Professor, Dept.of ECE.                              P.Perin Kumar(22ECM1R12)
Contents
• Introduction
• Xilinx FPGA Families
• Artix-7 FPGA Family
• Artix-7 Block Diagram
• Xilinx FPGA Families
• Features of Artix-7 FPGA
• Basic Architecture Of Artix-7 FPGAs
• Artix-7 Memory Types
• Applications
• Conclusion
Introduction                                                   
    
• The Xilinx® Artix®-7 family of FPGAs has redefined cost-sensitive
solutions by cutting power consumption in half from the previous
generation while providing best-in-class transceivers and signal
processing capabilities for high bandwidth applications. Built on the
28nm HPL process, these devices deliver best in class performance-
per-watt. Together with the MicroBlaze(TM) soft processor, Artix-7
FPGAs are ideal for products like portable medical equipment, military
radios, and compact wireless infrastructure. Artix-7 FPGAs meet the
needs of size, weight, power, and cost (SWaP-C) sensitive markets like
avionics and communications
Xilinx FPGA Families(1)                               
     
Xilinx FPGA Families(2)                               
          
Artix-7 FPGA Family                                   
      
Artix-7 FPGA Block diagram                       
        
Features of Artix-7 FPGAs                           
 • It   runs
  on the production-ascertained 28nm process, quality, and
architecture.
• The Artix 7 FPGA possesses the 6.6 GB/s transceivers that enable
peaks of 211 GB/s bandwidths.
• It also has double and single differential input/output standards
clocking speeds of up to 1.25 GB/s.
• Has the MicroBlaze soft 32-bit RISC architecture that backs embedded
processing
• The XC7A100T FPGA supports numerous configuration options that
include commodity memory support, 256-bit AES encryption,
complete with HMAC built-in SEU correction and detection besides
authentication.
• 50% lower total power compared to previous generation
• Sub-watt performance ranging from 13,000–200,000 logic cells
•  2X logic, 2.5X block RAM, 5.7X more DSP slices than Spartan®-6
FPGAs 
• Lowest-power Industrial speed grade offering (-1LI)
• Over 200DMIPs of processing power, plus drag n’ drop peripherals
with MicroBlaze soft processor
Xilinx Artix-7 CLB                                       
            
Basic Components of the Slice                     
      
DSP Slice in Artix-7 FPGA                           
 • Apart
      from
   the slices which make up the CLBs discussed above, the
Artix-7 also contains DSP slices. The Artix-7 we are using contains 700
DSP48E1 slices. Each DSP48E1 slice contains a pre-adder, a 25 x 18
multiplier, an adder, and an accumulator. A picture of a DSP slice can
be seen in the Figure below.
Row and Column Relationship Between
CLBs and Slices 
FPGA device present on Nexys-4 DDR
Board    
Artix-7 Memory Types                                 
        
BRAM Size                                                     
     
Applications                                                   
        
MEDICAL Applications(Portable Ultrasound)
• Designers can deploy a fully programmable 64-channel portable
ultrasound implementation that scales up to 196 or 256 channels for
high-end cart solutions or down to 32 channels for hand-held form
factors.
AEROSPACE AND DEFENSE: SECURE SOFTWARE-DEFINED RADIO
• The Artix-7 FPGA delivers the industry’s most integrated Type-1
single-chip cryptography (SCC) solution for superior, secure SWaP-C
results. Extensive DSP resources allow for waveform processing
capacity to integrate both the modem and cryptographic engine on a
single chip.
INDUSTRIAL: PROGRAMMABLE LOGIC CONTROLLER
• The Artix-7 FPGA delivers the industry’s most integrated Type-
1 single-chip cryptography (SCC) solution for superior, secure SWaP-
C results. Extensive DSP resources allow for waveform
processing capacity to integrate both the modem and cryptographic
engine on a single chip
Conclusion                                                     
        
• If you want to design or fabricate a low cost and high performance
integrated product, it’s advisable to look at the Artix-7 FPGA as your
go-to solution. However, looking at the ideal Artix-7 FPGA family and
product is vital to ensure the individual characteristic of the part suits
your IC FPGA needs.
THANK YOU

You might also like