You are on page 1of 22

Introduction to Deep Reactive

Ion Etching

Felix Lu http://www.oxfordplasma.de/i
After Hibert (2002) mages/scetch/icp_r_ww.gif

AQT/Duke
April 22, 2008
After Gale

http://www.micromagazine.com/archive
/05/12/0512MI35d.jpg
Topics

• Motivation and applications for Deep RIE


• Requirements using DRIE
• Deficiencies of standard wet/dry etch
processes
• Optimization of etch rate, smoothness and
selectivity
• The Bosch and Cryo DRIE processes
• Summary

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Motivation & Applications for DRIE
Trench capacitors

DRAM micrograph at left shows


cross section of ~60:1-deep
trench capacitor. SEM images at
right show Al2O3 thicknesses
proving 100% step coverage.
[http://www.micromagazine.com/ar
chive/02/06/lead.html]
http://www.clarycon.com/Resources/Slide2s.jpg

http://www.semiconductor-
technology.com/contractor_images/sts/3_ga
as-via.jpg After Walker
Felix Lu / Applied Quantum Technologies / Duke University / April 2008
SOIMUMPS backside etching
– Backside etching of SOI MUMPS die for
releasing and metallization of mirror surfaces
– Need to etch ~500-700 µm through Si
substrate.

BACK Evaporated
Au
substrate

SOI (mirror) SOI (mirror) SOI (mirror)

Au pads Au pads Au pads

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Desirable characteristics for
etching high aspect ratio features
• Relatively high etch rate
– standard RIE ≈1 µm/min; DRIE  ~2 to >20 µm/min [1]
• Cost effectiveness
– higher density of reactants
• Anisotropic etch independent of crystal orientation
– Vertical sidewalls/ability to control taper (≈90 deg vertical sidewalls, [2])
– Control lateral etch rate
• ion motion normal to surface & protected sidewalls
• High mask etching selectivity (120-200:1 for SiO2 [1])
– Thin mask more convenient
– Ion bombardment not dominant, balancing of chemical sputtering and
ion bombardment
• Relatively high smoothness on sidewalls and bottom
– Depends on application requirements
– Control of diffusion profiles for ions and radicals
– May have a tradeoff with etch rate

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Wet and dry etching features

After Kovacs et al. (1998)


Felix Lu / Applied Quantum Technologies / Duke University / April 2008
Extending the RIE process
RIE  dry etch, anisotropic, independent of crystal orientation
Deep vertical etching achievable [3] – SLOW (~0.5-1 µm/min)

http://www.ee.byu.edu/c
leanroom/everything_w
afers.parts/v_groove

User controllable

Increase etch rate:


After Bruce Gale, U of Utah.

Increase reactants: increase ion energies


Increase gas flow (pressure) Increase RF power

More radicals
More collisions (less directional)
Higher energy ions
Decrease in anisotropy < 20:1 Less mask selectivity

(Ayon,/ 1999)
Felix Lu / Applied Quantum Technologies / Duke University April 2008
High density plasma requirements
for faster etching
High Density Plasma
• Typical RIE : Capacitively Coupled Plasma ICP reactor
–ion energy and density of radicals COUPLED.

• Inductively Coupled Plasma (ICP) :


control for plasma confinement
• Substrate bias:
control for ion bombardment
• Radicals  chemical reaction (higher
selectivity)
• ICP desirable because:
– High density of radicals (~10×) [6] without
high density of high energy ions. [7]
http://www.ece.neu.edu/edsnu/hopwood/icp-labpage.html
– Ion bombardment at low levels [7] for
Ion Assisted Chemical Etching

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Ion assisted chemical etching
Enhanced etch rate not
explained by summing XeF2
etch rate and Ar+ etch rate.
XeF2 flux decay
Artifact from
measurement Spontaneous etch
rate of XeF2 at 50
~5-6× sum of mTorr on Tungsten
individual
etch rates ~sum of XeF2 and
Ar+ etch rates

-123 °C
After Coburn and Winters (1979)
Ion assisted
chemical
etching
Ion enhanced
etch rate at low
temperature.

Bensaoula (1986)
Felix Lu / Applied Quantum Technologies / Duke University / April 2008
Ion enhanced chemical etching
Ions & electrons models
Damage to
SF6 Si surface
and/or SF6
F- ion
Chemisorbed F
F
F Enhanced
F S FF F
dissociation
and/or
adsorption Implanted ions
provide the energy
Volatile to chemically Volatile
product sputter the product
F substrate material.
F
Damage
may also
enhance
removal
“Reactive Spot model”
“Damage Enhancement model” [Tachi (1985)]
[Coburn and Winters (1978)]

DRIE processes take advantage of ion assisted


chemical etching
Felix Lu / Applied Quantum Technologies / Duke University / April 2008
Overview of DRIE processes
Sidewall protection because fluorine radicals spontaneously etch Si.

SF6 / O2 plasma SF6 / C4F8

F- Condensed F-
Condensed n-CF2 polymer
SiOxFy

Mask Mask

Significantly reduced
spontaneous etch rate
Si Si

@ ~ -110°C

A.k.a. “Bosch”, “Pulsed” or


A.k.a. “Cryo process”
“Time multiplexed” process
Felix Lu / Applied Quantum Technologies / Duke University / April 2008
DRIE parameters
• High plasma density at low pressure
– low pressure reduces ion scattering
– maintains ion trajectory as mostly vertical
– better control of etch profiles
– improves transport of species into deep trenches
– Low P  fast pumping or low flow rate
• Low flow rate reduces etch rate
• SF6 used as isotropic etchant due to low toxicity compared
to F2.
• O2 typically used with SF6 to :
– Combine with SFn and CFn so that F does not combine with them
 keeps F concentration high.
– Passivates surfaces where mask has eroded
– Reacts with polymer film to keep it from getting too thick.

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Fluorine reactivity with Si and SiO2
as a function of Temperature
1/2 -eE’a/kT
Etch Rate = C1nFT e C1 Ea’(eV)
Si 2.86×10-22 0.108
Constant with weak Density of F atoms SiO2 6.14×10-23 0.163
T dependence (3×1021/m3)

Etch rate using fluorine radicals


etch rate (µm/min) 1.E+01
1.E+00
At -110°C , >100× drop in
1.E-01
Si etch rate by F radicals.
1.E-02
1.E-03 condensation of
SF6
1.E-04 Si
1.E-05 SiO2
1.E-06
1.E-07
-50

50
-250
-200
-150
-100

100
150
200
250
300
350
Substrate temperature (°C)

[After Roth (2001)] Felix Lu / Applied Quantum Technologies / Duke University / April 2008
Cryo process data
SF6 DRIE

Si etch rate increases by > 2× with decreasing T.


 presumably due to Ion assisted enhancement.

SiO2 etch rate decreases by ~5× with decreasing T.


 Presumably due to F not efficiently reacting with SiO2 compared
with Si

Sidewall etching (R) effectively goes to


zero at T < 90°C.
Decreasing T

After Tachi (1987)

Should not go below -130 °C as SF 6 will condense on wafer [7]

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Bosch process details
– High mask selectivity over Si etching (at least
50:1 if not 100:1) possible
• “soft” teflon like polymer ( low energy ion
bombardment for removal)
• Low energy bombardment does not significantly
erode masking materials.
• Harder (more polymerized teflon based
polymers) polymers would require larger ion
bombardment energies and the masking
selectivity suffers. [2]
– Alternating of etch and passivation steps
allows easier and dynamic optimization of
process.
– Using the two steps simultaneously causes
extinction of the amount of radicals by
chemical recombination. [2]
– This alternating sequence allows of RIE lag
• the duty cycle of the step varied to adjust for
trench widths (which are proportional to the
amount of passivation at the bottom of the
trench).

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Bosch process artifacts
Bosch DRIE 20 µm via
Bosch Process
scalloping

With polymer
Without polymer

After Hibert (2002)

After Qu (2006)
Scallop period is
determined by duty
cycle.
After Lietaer
www.alcatelmicromachining.com/amms_en/download/docs/news/doc148.pdf
Felix Lu / Applied Quantum Technologies / Duke University / April 2008
DRIE artifacts
Aspect Ratio Dependent Etching (ARDE)

Via etching

Chambers et al., Surface Technology Systems,


Advanced Packaging, 2005
http://ap.pennnet.com/Articles/Article_Display.cfm?Secti
on=Articles&Subsection=Display&ARTICLE_ID=225422
After Walker (2001)

Smaller opening  fewer ions  lower etch rate.

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


DRIE factors and tradeoffs

• Maximize smoothness?
– Bosch – reduce duty cycle (thus etch rate) for smaller
scallops.
– Cryo – intrinsically smoother than Bosch structures
• Maximize mask selectivity?
– Less ion bombardment, more chemical activity
• Maintain 90°walls?
– Balance ion bombardment with chemical etching

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Comparison of Bosch and Cryo
DRIE processes

Cryo etch rate >


5µm/min [20]

Bias is higher for Bosch  consistent with lower mask selectivity.


Bosch process alternates between etch and passivation steps – which
allows tuning of duty cycle to accommodate deep features.

After Walker (2001) Felix Lu / Applied Quantum Technologies / Duke University / April 2008
UNC Alcatel DRIE system ?

http://www.alcatelmicromachining.com

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


Summary

• DRIE main advantages over other wet and dry


processes are fast etching speed with freedom to tune
selectivity, smoothness, and have vertical sidewalls.
• A remote high density plasma is independently
controlled along with a substrate bias to balance radicals
and ion bombardment.
• The combination and judicious tuning of chemical and
physical etching produces an enhanced etching rate with
“smooth” vertical sidewalls
• Cryo process has smoother sidewalls, however Bosch
process allows dynamic optimization to account for RIE
lag.

Felix Lu / Applied Quantum Technologies / Duke University / April 2008


References
1. Gregory T. A. Kovacs, Nadim I. Maluf, Kurt E. Petersen, “Bulk Micromachining of Silicon”, Proceedings of the IEEE, Vol 86,
No. 8, August 1998, p. 1536
2. Franz Laermer and Andrea Urban, Robert Bosch Gmbh, “Milestones in Deep Reactive Ion Etching”, Transducers’05, 13th
international conference on solid state sensors, actuators, microsystems, Seoul, Korea, june 5-9, 2005, p. 1118
3. Roger Shile, MEMSTALK posting; rshile@thermomicro.com Tue Mar 6 20:19:47 2001 ]
4. Bruce K. Gale, Dry etching. (presentation slides), Fundamentals of Micromachining, BIOENG 6421, The University of Utah
5. A. A. Ayon, R. Braff, C. C. Lin, H. H. Sawin, and M. A. Schmidt, Characteriation of a time multiplexed inductively coupled
plasma etcher, Journal of the Electrochemical Society, 146, (1) 339-349 (1999)
6. Scott Smith, Ph.D. Thesis, “inductively coupled plasma etching of III-N semiconductors”, 1999, NCSU
7. Martin J. Walker, “Comparison of Boasch and cryogenic processes for patterning high aspect ratio features in silicon”, ©
2001 by the Society of Photo-opical Instrumentation Engineers, P. O. Box 10, Bellingham, Washington 98227
8. J. W. Coburn and Harold F. Winters, “Ion- and electron-assisted gas surface chemistry – An important effect in plasma
etching”, J. Appl. Phys. 50 (5) May 1979, p. 3189
9. A. Bensaoula, A. Ignatiev, J. Strozier, and J. C. Wolfe, “Low Temperature ion beam enhanced etching of tungsten films with
Xenon Difluoride”, Appl. Phys. Lett. 49 (24) 15 Dec 1986, p. 1663
10. Shin’ichi Tachi, Kazunori Tsujimoto, and Sadayuki Okudaira, “Low temperature reactive ion etching and microwave plasma
etching of silicon”, Appl. Phys. Lett. 52 (8) 22 Feb 1988
11. J. Reece Roth, Industrial Plasma Engineering, CRC Press 2001
12. Hongwei Qu, Ph.D. Thesis, “DEVELOPMENT OF DRIE CMOS-MEMS PROCESS AND INTEGRATED
ACCELEROMETERS”, U. of Florida, 2006
13. Cyrille Hibert, “State of the Art DRIE processing”, CMI Annual Review, 18 May 2004
14. Cyrille Hibert, “Dry Etching in MEMS fabrication”, CMI Comlab Review, 4 June 2002
15. Sami Franssila, “Introduction to Microfabrication”, John Wiley 2004
16. Shin’ichi Tachio and Sadayuki Okudaira, “Chemical Sputtering of silicon by F+, Cl+, and Br+ ions: Reactive spot model for
reactive ion etching”, J. Vac. Sci. Tenol. B 4 (2) mar/Apr 1986, p. 459
17. S. A. McAuley, H. Ashraf, L. Atabo, A. Chambers, S. Hall, J. Hopkins, and G. Nicholls, “Silicon micromachining using a high
ensity plasma source”, J. Phys. D: Appl. Phys: 45 (2001) 2769-2774
18. Ranganathan Nagarajan, Krishnamachar Prasad, Lioa Ebin, Balsubramaniam Narayanan, “Development of dual etch via
tapering process for through-silicon interconnection”, Sensors and Actuators A 139 (2007) 323-329
19. Daniel L. Flamm, Mechanisms of silicon etching in fluorine and chlorine containing plasmas”, Pure & Appl. Chem. Vol. 62,
No. 9, pp. 1709-1720, 1990
20. L. Sainiemi, and S. Franssila, “Mask Material effects in cryogenic deep reactive ion etching”, J. Vac., Sci. Technol. B 25 (3)
May/ Jun 2007, p. 801 Felix Lu / Applied Quantum Technologies / Duke University / April 2008

You might also like