You are on page 1of 12

EXPERIMENT NO:-9

OBJECT:- PROGRAM FOR ‘ 3-BIT COMPARATOR’ .

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY COMPARATOR IS
PORT ( A,B : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
F1 : OUT STD_LOGIC;
F2 : OUT STD_LOGIC;
F3 : OUT STD_LOGIC);
END COMPARATOR ;

ARCHITECTURE BEHAVIORAL OF COMPARATOR IS

BEGIN
PROCESS(A,B)
BEGIN
IF(A(2)='0' AND B(2)='1')THEN
F3 <='1'; F2 <='0'; F1 <='0';
ELSIF(A(2)='1' AND B(2)='0')THEN
F1 <='1'; F2 <='0'; F3 <='0';
ELSE
IF(A(1)='0' AND B(1)='1')THEN
F3 <='1'; F2 <='0'; F1 <='0';
ELSIF(A(1)='1' AND B(1)='0')THEN
F1 <='1'; F2 <='0'; F3 <='0';
ELSE
IF(A(0)='0' AND B(0)='1')THEN
F3 <='1'; F2 <='0'; F1 <='0';
ELSIF(A(0)='1' AND B(0)='0')THEN
F1 <='1'; F2 <='0'; F3 <='0';
ELSE
F2<='1';F1<='0';F3<='0';
END IF;
END IF;
END IF;
END PROCESS;

END BEHAVIORAL;

REGISTER TRANSFER LOGIC VIEW


SCHEMATIC OF 3-BIT COMPARATOR
WAVEFORMS OF 3-BIT COMPARATOR
OUTPUT WAVEFORM OF 4-BIT COMPARATOR

F1=’1’ BECAUSE A>B


F2 = ‘1’ BECAUSE A = B
F3 = ‘1’ BECAUSE A<B

You might also like