Professional Documents
Culture Documents
Synthesis result
:-
BELS
LUT2
4
4
IO BUFFERS
OBUF
IBUF
DELAY
6
4
2
7.167 ns
ACTIVITY 2
3*8 DECODER:CODElibrary IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity hp_deco_38 is
Port ( a,b,c : in STD_LOGIC;
z : out STD_LOGIC_vector(7 downto 0));
end hp_deco_38;
architecture Behavioral of hp_deco_38 is
component hp_deco_24
port (X, Y: in STD_LOGIC;
O: out STD_LOGIC_vector(3 downto 0));
end component;
signal X1: STD_LOGIC_vector(3 downto 0);
begin
hpdeco24:hp_deco_24 port map(X => a, Y => b, O(3 downto 0) => X1 (3 downto
0));
z(0) <= X1(0) and c;
z(1) <= X1(1) and c;
z(2) <= X1(2) and c;
z(3) <= X1(3) and c;
z(4) <= X1(0) and (not c);
c => c,
z => z
);
-- Simulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
a<='0';b<='0';c<='0';
wait for 100 ns;
a<='0';b<='0';c<='1'; wait for 100 ns;
a<='0';b<='1';c<='0'; wait for 100 ns
a<='0';b<='1';c<='1';
wait for 100 ns;
a<='1';b<='0';c<='0';
wait for 100 ns;
a<='1';b<='0';c<='1';
wait for 100 ns;
a<='1';b<='1';c<='0';
wait for 100 ns;
a<='1';b<='1';c<='1';
-- insert stimulus here
wait;
end process;
END;
RESULT
8
8
11
3
8
7.337 ns