You are on page 1of 1

JAYPEE UNIVERSITY OF ENGINEERING & TECHNOLOGY

VLSI Technology & Application (10B11EC612)


Tutorial Sheet -1
1. Write down a program in VHDL for 8:3 encoder using behavioral style of the
modeling.
2. Write down a program in VHDL for BCD to excess-3 code converter using data flow
style of the modeling.
3. Write down a program in VHDL for BCD to seven segment decoder using mixed
style of the modeling.
4. Write down a program in VHDL for 2:4 line decoder using behavioral modeling.
Using 2:4 line decoders as a one component, write down VHDL code for 3:8 line
decoder using structural style of the modeling.
5. Write down a program in VHDL for three bit binary adder using 3:8 line decoder in
any modeling.
6. Write down a program in VHDL for 8:1 multiplexer using 2:1 multiplexer in
structural style of the modeling.
7. Write down a program in VHDL for 4 bit ripple carry adder using structural style of
the modeling.
8. Write down a program in VHDL for 4 bit carry look ahead adder using any style of
the modeling.
9. Write down a program in VHDL for 4 bit adder/subtractor circuit using any style of
the modeling.
10. Write down a program in VHDL for 2 bit binary multiplier circuit using any style of
the modeling.

You might also like