Professional Documents
Culture Documents
Divisor de Frecuncia000
Divisor de Frecuncia000
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity segundo is
port ( pulso : inout std_logic:='0';
clk : in std_logic);
end entity;
end architecture;