Professional Documents
Culture Documents
Clave: IEC208L
Sección: 3
Tema:
Nombre
ID:1099881
Procedimiento
Diseño
library IEEE;
use IEEE.std_logic_1164.all;
entity clk_1Hz is
end entity;
begin
process(clk_100MHz)
begin
if (rising_edge(clk_100MHz)) then
count <= 1;
end if;
end if;
end process;
process(clk_1Hz)
begin
digit <= 0;
else
end if;
end process;
end logic_flow;
TestBench:
library IEEE;
use IEEE.std_logic_1164.all;
entity testbench is
-- empty
end testbench;
architecture tb of testbench is
-- DUT component
component clk_1Hz is
port(clk_100MHz : in std_logic;
end component;
begin
-- Connect DUT
CLK_gen: process
begin
wait;
end tb;