Professional Documents
Culture Documents
ELECTRÓNICA DIGITAL
TODO EL TEMARIO
7LSRVGHPDJQLWXGHV
(OHFWUyQLFD'LJLWDO 6HxDOHVDQDOyJLFDV
3XHGHQWRPDULQILQLWRVYDORUHVHQWUHXQPtQLPR\XQ
Pi[LPR
6HxDOHVGLJLWDOHV
6RQDTXHOODVFX\DPDJQLWXGVyORSXHGHWRPDUXQ
Q~PHURILQLWRGHYDORUHVQ«Q
6LQ ODVHxDOHVELQDULD \VRORSRGUiWRPDUORV
7HPD YDORUHV\
$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
$OWXUDDODTXH
$OWLWXGDODTXH
QRVVLWXDPRVDO
YXHODQORVJORERV
VXELUXQDHVFDOHUD
0DJDQDOyJLFD
0DJGLJLWDO
3URJUDPDEOHVHVWDEOHVUHSHWLEOHV
$OPDFHQDPLHQWRILDEOHVGHULYDVWHPSRUDOHV
9HQWDMDVHLQFRQYHQLHQWHV LQPXQLGDGDOUXLGR
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
ËQGLFH ,QWURGXFFLyQ
6HSXHGHWUDEDMDUFRQVHxDOHVDQDOyJLFDV \RGLJLWDOHV
(M&LUFXLWRGHFRQWUROGHWHPSHUDWXUD7
,QWURGXFFLyQ $PSOLILFDGRU
6HQVRUGH7 DGHFXDODVHxDO
ÈOJHEUDGH%RROH DQDOyJLFR 9,
%LWFyGLJRGLJLWDOQLYHOOyJLFRFyGLJRVGH 7
9,
QXPHUDFLyQ VHxDODQDORJ
J
$'&
)XQFLRQHVOyJLFDVEiVLFDV3XHUWDVOyJLFDV FyGLJRGLJLWDO &RPS
'LVHxRGHXQFLUFXLWRGLJLWDOEiVLFR DQDORJ
1LYHOUHI
(M
/HQJXDMHVGHGHVFULSFLyQVRIWZDUH
&DOHIDFFLyQ
)DPLOLDVOyJLFDV $LUH &RPSGLJLWDO 9,
! &yGLJRUHI
DFRQGLFLRQDGR
«
(M
,QWURGXFFLyQ ÈOJHEUDGH%RROH
6HxDO 'HVDUUROODGRSRU*HRUJH%RROHHQ
0DJQLWXGItVLFDTXHYDUtD3XHGH v 8QiOJHEUDGH%RROHHVXQDVp[WXSODIRUPDGDSRU
VHUySWLFDDF~VWLFDHWF 8QFRQMXQWRGHHOHPHQWRV $
(QQXHVWURFDVRWUDEDMDUHPRV GRVYDORUHVSRVLEOHV DOJHEUDGH%RROHELYDOHQWHSDUDORV
FRQVHxDOHVHOpFWULFDVYROWDMH HOHPHQWRVGHOFRQMXQWR$ \
HLQWHQVLGDGTXHSXHGHQ GRVRSHUDFLRQHVELQDULDVSURGXFWR WDPELpQGHQRPLQDGD³\´
UHSUHVHQWDUODYDULDFLyQGHRWUD ³DQG´ HQLQJOpV\GHQRWDGDFRQXQSXQWRā\VXPD WDPELpQ
PDJQLWXGWHPSHUDWXUDSUHVLyQ GHQRPLQDGD³R´RUHQLQJOpV\GHQRWDGDSRU
HWF
W XQDRSHUDFLyQXQLWDULDQHJDFLyQ QRWHQLQJOpV\GHQRWDGDFRQ
6LVWHPD t XQDOtQHDVREUHODYDULDEOHQHJDGD
&RQMXQWRGHHOHPHQWRV TXHFXPSOHQXQDVHULHGHSULQFLSLRV\WHRUHPDVTXHVH
LQWHUFRQHFWDGRVTXHHYROXFLRQDQ HQXQFLDUiQHQODVVLJXLHQWHVSiJLQDV
GHIRUPDFRRUGLQDGDVLJXLHQGR &ODXGH6KDQQRQGHPRVWUyTXHUHSUHVHQWDQGR
GHWHUPLQDGDVUHJODVRUHODFLRQHV (QWUDGDV 6DOLGDV FRGLILFDQGRODVPDJQLWXGHVVHxDOHV\Q~PHURV
(QQXHVWURFDVRORVXWLOL]DUHPRV 6LVWHPD PHGLDQWH¶V\¶VVHSXHGHQUHDOL]DUFRPSOHMDV
SDUDSURFHVDUVHxDOHVHOpFWULFDV RSHUDFLRQHVOyJLFDV\PDWHPiWLFDVGHIRUPD
FLUFXLWRV UHODWLYDPHQWHVLPSOHFRQFLUFXLWRVTXHRSHUDQXVDQGRHO
(VWUXFWXUD)XQFLyQ
$QiOLVLV6tQWHVLV DOJHEUDGH%RROH
ÈOJHEUDGH%RROH %LWFyGLJRGLJLWDOQLYHOOyJLFRFyGLJRVGH
7HRUHPDVGHOÈOJHEUDGH%RROH QXPHUDFLyQ
7HRUHPD,GHPSRWHQFLD 6HJ~QHOFyGLJRGHQXPHUDFLyQH[LVWHQGLVWLQWDV
D[[«[ [ IRUPDVGHUHSUHVHQWDUORVGDWRVVHxDOHVPHGLGDV
E[•[• … •[ [ ∀[∈%
GHFLPDO
7HRUHPD3URSLHGDGFRQPXWDWLYD
%&'
D[\ \[ GHFLPDOFRGLILFDGR
E[•\
\ \•[
\ ∀ [\
\∈% HQELQDULR
7HRUHPD3URSLHGDGGLVWULEXWLYD
D[\•] [\[]
E[•\] [•y)+(x•z) ∀ [\] ∈ %
7HRUHPD$EVRUFLyQ
D[[•\ [
E[•[\ [ ∀ [\ ∈ %
ÈOJHEUDGH%RROH )XQFLRQHVOyJLFDVEiVLFDV
7HRUHPDVGHOÈOJHEUDGH%RROH ,QWURGXFFLyQ
7HRUHPD6LPSOLILFDFLyQ 8QDIXQFLyQOyJLFDGHVFULEHHOFRPHWLGRTXHUHDOL]D
D[[•\ [\ XQFLUFXLWRGLJLWDO
E[•[\ [•\ ∀ [\∈ % 3XHGHUHSUHVHQWDUVHELHQPHGLDQWHXQDH[SUHVLyQ
7HRUHPD/H\DVRFLDWLYD DOJHEUDLFDRELHQPHGLDQWHXQDWDEODGHYHUGDG(M
D[\] [\] [\] EHQWUDGD
&LUFXLWR
E [•\•] [•\•] [•\•]
E[•\•] ∀ [\]∈
[ \ ]∈% V VDOLGD
VVDOLGD
GL LW O
GLJLWDO
DHQWUDGD
7HRUHPD/H\GH'H 0RUJDQ 7DEODGHYHUGDG
EDV
D[\ [•\
([SUDOJHEUDLFD
E[•\ [\ ∀ [\∈ %
V EāDEāD
/H\GH'H 0RUJDQJHQHUDOL]DGD
DE«T D•E•… •q
125 ODVDOLGDHVVRORFXDQGRWRGDVODVHQWUDGDVVRQ
/DVRSHUDFLRQHVRIXQFLRQHVOyJLFDVEiVLFDV$1' D E 6
251RWTXHVHGHVFULEHQDFRQWLQXDFLyQVRQOD 6 DE D•E
EDVHGHWRGRVORVVLVWHPDVGLJLWDOHVTXHVHLUiQ
YLHQGRDORODUJRGHOFXUVR
;25
;252UH[FOXVLYDODVDOLGDHVFXDQGRHOQ~PHURGH
2U H[FOXVLYD OD VDOLGD HV FXDQGR HO Q~PHUR GH
HQWUDGDVDHVLPSDUFRQVLGHUDQGRHOSDU
3DUDFDGDRSHUDFLyQRIXQFLyQOyJLFDVHPXHVWUD
D E 6
VXH[SUHVLyQDOJHEUDLFD & D⊕E DEDE
VXWDEODGHYHUGDG
ORVVtPERORV,(&H,(((WUDGLFLRQDOUHVSHFWLYDPHQWHGHO
FLUFXLWRTXHLPSOHPHQWDGLFKDIXQFLyQHQXQPRQWDMHUHDO
)XQFLRQHVOyJLFDVEiVLFDV )XQFLRQHVOyJLFDVEiVLFDV
3XHUWDVOyJLFDV 3XHUWDVOyJLFDV
6HJXLGRUEXIIHU/DVDOLGDVLJXHDODHQWUDGD $YHFHVKD\TXHLPSOHPHQWDUODVRSHUDFLRQHVFRQXQWLSR
HVSHFtILFRGHSXHUWDVSDUDDSURYHFKDUUHFXUVRV«
D 6
6 D (TXLYDOHQFLDVIUHFXHQWHVHQWUHSXHUWDV
127FRQ1$1'
127FRQ125
127LQYHUVRU/DVDOLGDHVODLQYHUVDGHODHQWUDGD
$1'FRQ125
D 6 25FRQ1$1' ¢3RUTXpXWLOL]DUSXHUWDV1$1'"
T S
6 D $1'FRQ1$1'
125FRQ1$1'
127FRQ;25
$1'SURGXFWROyJLFRODVDOLGDHVFXDQGRWRGDVODV %XIIHUFRQ;25
HQWUDGDVVRQ
D E 6 3DUDSDVDUXQSURGXFWRDVXPDRYLFHYHUVD QHJDUOD
IXQFLyQYHFHV\DSOLFDUODOH\GH0RUJDQDODSULPHUDQHJDFLyQ
6 D•E
DE«T DE«T DE«T
DE«T DE«T DE«T
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV (OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)XQFLRQHVOyJLFDVEiVLFDV )XQFLRQHVOyJLFDVEiVLFDV
3XHUWDVOyJLFDV $OJXQDVGHILQLFLRQHV
7pUPLQRVGHODIXQFLyQOyJLFDVXPDV\RSURGXFWRV
25VXPDOyJLFDODVDOLGDHVVyORVLWRGDVODV
HQWUDGDVVRQ 7pUPLQRFDQyQLFRLQFOX\HWRGDVODVYDULDEOHVGHODIXQFLyQ
D E 6 0LQWHUPSURGXFWRGHWRGDVODVYDULDEOHVGHODIXQFLyQQHJDGDVRQR
6 DE
(MPLQWHUPGHIFEDFED
0D[WHUPVXPDGHWRGDVODVYDULDEOHVGHODIXQFLyQQHJDGDVRQR
(MPD[WHUPGHIFEDFED
)XQFLyQFDQyQLFDVXPDGHPLQWHUPVRSURGXFWRGHPD[WHUPV
1$1'ODVDOLGDHVVyORFXDQGRWRGDVODVHQWUDGDV
VRQ D E 6
6HREWLHQHDSDUWLUGHODWDEODGHYHUGDGXRSHUDQGRDOJHEUDLFDPHQWH
6LPSOLILFDFLyQGHIXQFLRQHVOyJLFDVPHGLDQWH.DUQDXJK\RRSHUDQGR
6 D•E DE
)XQFLRQHVLQFRPSOHWDV ODVDOLGDQRHVWiGHILQLGDSDUDDOJXQDVFRPELQDFLRQHV
GHHQWUDGDHQHVWDVFRPELQODVDOLGDSXHGHVHULQGLIHUHQWHPHQWHyÆ ;
/DVFRPELQDFLRQHVGHHQWUDGDQRSXHGHQGDUVHRVRQLQGLIHUHQWHVSDUDHOGLVHxR
(MHPSORGHWHFWDUORVQ~PHURVTXHVRQSDUHVHQXQGDWR%&'GHELWV
GHSURJUDPDFLyQGH
end process;
end funcionmux;
'LVHxH XQ FLUFXLWR GLJLWDO TXH UHFRMD ORV YRWRV GH FDGD PLHPEUR GH OD
MXQWD \ DXWRPDWLFH HO SURFHVR GH GHFLVLyQ JHQHUDQGR HQ VX VDOLGD XQ GLVSRVLWLYRVOyJLFRV
OyJLFR VL OD PD\RUtD KD YRWDGR 6Ë \ XQ OyJLFR VL OD PD\RUtD YRWD 12
'LVHxRGHXQFLUFXLWRGLJLWDOEiVLFR /HQJXDMHVGHGHVFULSFLyQKDUGZDUH
(OSURFHGLPLHQWRSDUDOOHJDUGHVGHHOHQXQFLDGRKDVWDHOFLUFXLWR 6LVWHPDGHUHFXHQWRGHYRWRVUHVXHOWRFRQ9+'/
TXHUHVXHOYDHOSUREOHPDVHFRPSRQHGHORVVLJXLHQWHVSDVRV WDEODGHYHUGDG
,GHQWLILFDUODVHQWUDGDV\VDOLGDV(VTXHPDGHEORTXHV
GHOFLUFXLWR
(VWDEOHFHUOD WDEODGHYHUGDGDSDUWLUGHOHQXQFLDGRGHO
SUREOHPD
2EWHQHUODIXQFLyQFDQyQLFDFRPRVXPDGHPLQWHUPVR
SURGXFWRGHPD[WHUPVDSDUWLUGHODWDEODGHYHUGDG
6LPSOLILFDU ODIXQFLyQFDQyQLFDELHQDOJHEUDLFDPHQWH
DSOLFDQGRWHRUHPDVGHOÈOJHEUDGH%RROHRFRQRWURV
PpWRGRVSHMPDSDVGH.DUQDXJK
,PSOHPHQWDU ODIXQFLyQVLPSOLILFDGDPHGLDQWHODV
RSRUWXQDVSXHUWDVOyJLFDV
/HQJXDMHVGHGHVFULSFLyQKDUGZDUH /HQJXDMHVGHGHVFULSFLyQKDUGZDUH
8QVLVWHPDGLJLWDOFRPSOHMRQRVHLPSOHPHQWDHQODPD\RUtD 6LVWHPDGHUHFXHQWRGHYRWRVUHVXHOWRFRQ9+'/
GHORVFDVRVPHGLDQWHSXHUWDVOyJLFDVEiVLFDVQLFRQORV
GLVSRVLWLYRVGLVFUHWRVTXHVHHVWXGLDUiQHQORVSUy[LPRVWHPDV IXQFLyQOyJLFD
(QVXOXJDUPXFKDVDSOLFDFLRQHVVHOOHYDQDFDERPHGLDQWHOD
SURJUDPDFLyQGHGLVSRVLWLYRVGLJLWDOHVSURJUDPDEOHV TXH
LPSOHPHQWDGRODVPLVPDVIXQFLRQHVOyJLFDVEiVLFDVHVWXGLDGDVVRQ
PiVYHUViWLOHVUHXWLOL]DEOHVHWF
8QOHQJXDMHGHGHVFULSFLyQGHKDUGZDUH +DUGZDUH'HVFULSWLRQ
/DQJXDJH+'/ SRUVXVVLJODVHQLQJOpVHVXQOHQJXDMHGHOHVWLORGH
ORVOHQJXDMHVGHSURJUDPDFLyQGHVRIWZDUH&HWFTXHSHUPLWHOD
GHVFULSFLyQGHORVFLUFXLWRVGLJLWDOHV
8QDYH]GHVFULWRXQFLUFXLWRGLJLWDOVHJ~QODVHVSHFLILFDFLRQHVGHO+'/
XWLOL]DGRHOSURJUDPDSXHGHVHUFRPSLODGR\FDUJDGRHQXQ
GLVSRVLWLYROyJLFRSURJUDPDEOHDGHFXDGRTXHFXPSOLUiODPLVPD
IXQFLRQDOLGDGTXHHOFLUFXLWRUHDOL]DGRFRQSXHUWDVOyJLFDVEiVLFDV
)DPLOLDVOyJLFDV )DPLOLDVOyJLFDV
&RQFHSWRGHIDPLOLD +RMDVGHFDUDFWHUtVWLFDV
0XFKRVFLUFXLWRGLJLWDOHVVHIDEULFDQHQFLUFXLWRV
LQWHJUDGRV&,WDPELpQOODPDGRV³FKLSV´ Muesca, ranura (o
borde curvado) fija:
&DGDFKLSWLHQHXQQRPEUHLGHQWLILFDWLYR\KRMDVGHFDUDFWHUtVWLFDV numeración pines
GDWDVKHHWVTXHORGHILQHQ
)DPLOLDWHFQRORJtD
IXQFLyQ
)DPLOLDVOyJLFDV )DPLOLDVOyJLFDV
&RQFHSWRGHIDPLOLDHQFDSVXODGRV +RMDVGHFDUDFWHUtVWLFDV
Plastic
&RUWHGHXQFKLSFRQHQFDSVXODGR Chip case
',3'XDO,QOLQH3LQV
Pins
2WURVHQFDSVXODGRV
62,&3/&&/&&& %*$
6PDOO2XWOLQH,& 3ODVWLF/HDGHU&KLS /HDGHG&HUDPLF %DOO*ULG$UUD\
&DUULHU &KLS&DUULHU
&RQGLFLRQHVGHRSHUDFLyQUHFRPHQGDGDV &RQGLFLRQHVGHRSHUDFLyQUHFRPHQGDGDV
sĐĐ͗dĞŶƐŝſŶĚĞĂůŝŵĞŶƚĂĐŝſŶĚĞĐŝƌĐƵŝƚŽ͘ /K,ŵĂdž͗DĄdžŝŵĂĐŽƌƌŝĞŶƚĞƋƵĞƉƵĞĚĞƉƌŽƉŽƌĐŝŽŶĂƌͬĂĐĞƉƚĂƌůĂ
ƐĂůŝĚĂĐƵĂŶĚŽůĂƉƵĞƌƚĂĚĂƵŶ͚ϭ͛͘
/K>ŵĂdž ͗DĄdžŝŵĂĐŽƌƌŝĞŶƚĞƋƵĞƉƵĞĚĞƉƌŽƉŽƌĐŝŽŶĂƌͬĂĐĞƉƚĂƌůĂ
7HQGHQFLDÖ
Ö ƐĂůŝĚĂĐƵĂŶĚŽůĂƉƵĞƌƚĂĚĂƵŶ͚Ϭ͛͘
(OFRQYHQLRGHVLJQRVGHODVFRUULHQWHVHVWLSXODTXH
• &RUULHQWHVTXHHQWUHQHQODSXHUWD SRVLWLYDVDFHSWD,
• &RUULHQWHVTXHVDOJDQGHODSXHUWD QHJDWLYDVSURSRUFLRQD,
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV (OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV )DPLOLDVOyJLFDV
+RMDVGHFDUDFWHUtVWLFDVSDUiPHWURVHOpFWULFRV +RMDVGHFDUDFWHUtVWLFDVSDUiPHWURVHOpFWULFRV
&RQGLFLRQHVGHRSHUDFLyQUHFRPHQGDGDV &DUDFWHUtVWLFDVHOpFWULFDV
s
s/,ŵŝŶ͗dĞŶƐŝſŶŵşŶŝŵĂĚĞĞŶƚƌĂĚĂƋƵĞ s
ůĂƉƵĞƌƚĂĞŶƚŝĞŶĚĞĐŽŵŽ͚ϭ͛͘ //,ŵĂdž͗DĄdžŝŵĂĐŽƌƌŝĞŶƚĞĞŶůĂĞŶƚƌĂĚĂĐƵĂŶĚŽůĂƉƵĞƌƚĂƌĞĐŝďĞƵŶ͚ϭ͛͘
s/,ŵŝŶ
s/>ŵĂdž //>ŵĂdž͗DĄdžŝŵĂĐŽƌƌŝĞŶƚĞĞŶůĂĞŶƚƌĂĚĂĐƵĂŶĚŽůĂƉƵĞƌƚĂƌĞĐŝďĞƵŶ͚Ϭ͛͘
s/>ŵĂdž͗dĞŶƐŝſŶŵĄdžŝŵĂĚĞĞŶƚƌĂĚĂ
ƋƵĞůĂƉƵĞƌƚĂĞŶƚŝĞŶĚĞĐŽŵŽ͚Ϭ͛͘
)DPLOLDVOyJLFDV )DPLOLDVOyJLFDV
+RMDVGHFDUDFWHUtVWLFDVSDUiPHWURVHOpFWULFRV &RPSDWLELOLGDGHLQWHUFRQH[LyQ
&DUDFWHUtVWLFDVHOpFWULFDV &RPSDWLELOLGDGHQWHQVLRQHV
&ĂŵŝůŝĂ ŽŶĚŝĐŝſŶĚĞĐŽŵƉĂƚŝďŝůŝĚĂĚĞŶƚĞŶƐŝſŶ͗
&ĂŵŝůŝĂ ůĂƐƚĞŶƐŝŽŶĞƐůşŵŝƚĞƐƋƵĞůĂƉƵĞƌƚĂ
ĞŶƚƌĞŐĂ ĐŽŵŽ ͛Ϭ͛
ĞŶƚƌĞŐĂĐŽŵŽ Ϭ LJ
LJ ͚ϭ͛
ϭ ĚĞďĞŶĞƐƚĂƌĚĞŶƚƌŽ
ĚĞďĞŶ ĞƐƚĂƌ ĚĞŶƚƌŽ
s s ĚĞůŽƐŵĄƌŐĞŶĞƐƋƵĞĞŶƚŝĞŶĚĞĐŽŵŽ͛Ϭ͛
s s LJ͚ϭ͛ƌĞƐƉĞĐƚŝǀĂŵĞŶƚĞ͘ƐĚĞĐŝƌ͗
s sK,ŵŝŶ
sK,ŵŝŶ͗dĞŶƐŝſŶŵşŶŝŵĂƋƵĞůĂƉƵĞƌƚĂĞŶƚƌĞŐĂĐŽŵŽ s s/,ŵŝŶ sK,ŵŝŶͮх s/,ŵŝŶͮ
͚ϭ͛ĞŶƐƵƐĂůŝĚĂ͘ sK>ŵĂdž s/>ŵĂdž sK>ŵĂdžͮф s/>ŵĂdžͮ
sK,ŵŝŶ
sK>ŵĂdž͗dĞŶƐŝſŶŵĄdžŝŵĂƋƵĞůĂƉƵĞƌƚĂĞŶƚƌĞŐĂĐŽŵŽ sK>ŵĂdž
͚Ϭ͛ĞŶƐƵƐĂůŝĚĂ͘ sK,ŵŝŶLJ sK>ŵĂdž s/,ŵŝŶLJ s/>ŵĂdž
ĚĞůĂĨĂŵŝůŝĂ ĚĞůĂĨĂŵŝůŝĂ
ƚƌ
&ĂŵŝůŝĂ WU WLHPSRGHVXELGDWLHPSRTXHWDUGDHQ ϵϬй
&ĂŵŝůŝĂ SDVDUODVDOLGDGHQLYHOEDMRDQLYHODOWR
ŽŶĚŝĐŝſŶĚĞĐŽŵƉĂƚŝďŝůŝĚĂĚĞŶĐŽƌƌŝĞŶƚĞ͗
Ɖ ϭϬй
/K>ŵĂdžͮ
х//>ŵĂdžͮ
ƚW,>
W3/+ WLHPSRGHSURSDJDFLyQRUHWDUGR/+ ƚW>,
Ͳ ^ŝĞŶĚŽůĂƐĨĂŵŝůŝĂƐLJĐŽŵƉĂƚŝďůĞƐ͕͎ƐĞƉƵĞĚĞĐŽŶĞĐƚĂƌƵŶŶƷŵĞƌŽŝŶĚĞĨŝŶŝĚŽ WLHPSRWUDQVFXUULGRGHVGHTXHFDPELDOD ϱϬй ϱϬй
HQWUDGDKDVWDTXHODVDOLGDFRQPXWDGHQLYHO ^
ĚĞĞŶƚƌĂĚĂƐĚĞƚŝƉŽĞŶůĂƐĂůŝĚĂĚĞƵŶĂƉƵĞƌƚĂ͍
EDMRDQLYHODOWR
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV (OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV )DPLOLDVOyJLFDV
&RPSDWLELOLGDGHLQWHUFRQH[LyQ 3XHUWDVWULHVWDGR
(VWDVSXHUWDVGLVSRQHQGHXQWHUPLQDOGHFRQWURO
&ĂŵŝůŝĂ
&ĂŵŝůŝĂ
D 3XHUWD FRQWURO \
(M¢&RPSDWLELOLGDGGHIDPLOLD/6DWDFDQGRD/97" WULHVWDGR \
$FWLYDGR IED
IED
E
'HVDFWLYDGR =
)DPLOLD 92+PLQ 92/PD[ 9,+PLQ 9,/PD[ ,2+PD[ ,2/PD[ ,,+PD[ ,,/PD[
FRQWURO
/6 9 9 9 9 P$ P$ Nj$ P$
)DPLOLDVOyJLFDV )DPLOLDVOyJLFDV
0DUJHQGHUXLGR 3XHUWDVWULHVWDGR
1LYHOGHUXLGRHQFRQWLQXDTXHVHSXHGHWROHUDU
(MHPSOREXIIHUWULHVWDGR
F D \
D \ / ; =
&ĂŵŝůŝĂ + / /
&ĂŵŝůŝĂ
F + + +
s s
s s 0DUJHQGHUXLGRD
QLYHODOWR0510+
(OHVWDGR=ItVLFDPHQWHLQGLFDTXHODVDOLGDHVWi
sK,ŵŝŶ 92+PLQ_± 9,+PLQ_ GHVFRQHFWDGDGHOFLUFXLWRHVXQFLUFXLWRDELHUWR
s/,ŵŝŶ
s/>ŵĂdž
sK>ŵĂdž
0DUJHQGHUXLGRD + \ =
QLYHOEDMR0510/
9,/PD[_± 92/PD[_
/
sK,ŵŝŶLJ sK>ŵĂdž s/,ŵŝŶLJ s/>ŵĂdž
ĚĞůĂĨĂŵŝůŝĂ ĚĞůĂĨĂŵŝůŝĂ
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV (OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
3XHUWDVWULHVWDGR
$SOLFDFLyQ&RQHFWDUYDULDVVDOLGDVDOPLVPRSXQWRVLQ
TXHKD\DFRQIOLFWRGHQLYHOHVHQWUHHOODV
(QFDGDPRPHQWRWRGDVODVSXHUWDVHVWDUiQHQHVWDGR
=PHQRVXQDTXHILMDUiHOQLYHODODVDOLGD
A
Determine:
C1
& & & < 2
B Y O
+ / /
C
/ + /
C2 / / +
C3
£,PSRUW PX\XVDGRNjSURFHVDGRUHVNjFRQWURODGRUHV«
6DOLGD<HMSUHYLRSRGUtDLUDELWGHEXVGHGDWRVGHNjSURFHVDGRU«
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
)DPLOLDVOyJLFDV
3XHUWDVWULHVWDGR
¢<VLXQDSXHUWDHQHVWDGR=VHFRQHFWDDRWUD"
¢&XiOHVHOQLYHOOyJLFRHQODVDOLGD287"
Z ¿OUT?
H
(VQHFHVDULRHOLPLQDUODSRVLEOHLQGHWHUPLQDFLyQ
3RUHMHPSORFRORFDQGRXQDUHVLVWHQFLDHQODVDOLGD
GHODSXHUWDWULHVWDGRFRQHFWDGDD9FFSDUDILMDUQLYHO
Vcc
R
L
H
H
L
(OHFWUyQLFD'LJLWDO 7HPD$VSHFWRVJHQHUDOHVGHORVVLVWHPDVGLJLWDOHV
Universidad de Alcalá Departamento de Electrónica
Se llama forma canónica de una función lógica a todo producto de sumas o sumas de
productos en los cuales aparecen todas las variables en cada uno de los términos que
constituyen la expresión, bien en forma directa, bien en forma complementada. Si la función
canónica está compuesta por sumas de productos se dice que es una función canónica en
MINTERMS (o primera forma canónica). Por el contrario, si está formada por productos de
sumas es una función canónica en MAXTERMS (o segunda forma canónica).
Dos términos canónicos son adyacentes cuando sus respectivas configuraciones binarias
difieren entre sí en un único bit.
1
2 3
1 1
4 6 7 5 4 5 7 6
10 01
8 10 11 9 4 5 7 6
11 11
12 14 15 13 12 13 15 14
01 10
4 6 7 5 8 9 11 10
Adyacencias
En los mapas de 3 y 4 variables se verifica que las celdas opuestas en los extremos de una
misma fila o columna también representan términos canónicos adyacentes.
ba 00 10 11 01 b a 00 01 11 10
c c
0 0
0 2 3 1 0 1 3 2
1 1
4 6 7 5 4 5 7 6
ba 00 10 11 01 b a 00 01 11 10
c c
0 0
0 2 3 1 0 1 3 2
1 1
4 6 7 5 4 5 7 6
ba 00 10 11 01 b a 00 01 11 10
c c
0 0
0 2 3 1 0 1 3 2
1 1
4 6 7 5 4 5 7 6
ba 00 10 11 01 b a 00 01 11 10
c c
0 0
0 2 3 1 0 1 3 2
1 1
4 6 7 5 4 5 7 6
ba 00 10 11 01 b a 00 01 11 10
c c
0 0
0 2 3 1 0 1 3 2
1 1
4 6 7 5 4 5 7 6
ba 00 10 11 01 b a 00 01 11 10
dc dc
00 00
0 2 3 1 0 1 3 2
10 01
8 10 11 9 4 5 7 6
11 11
12 14 15 13 12 13 15 14
01 10
4 6 7 5 8 9 11 10
ba 00 10 11 01 b a 00 01 11 10
dc dc
00 00
0 2 3 1 0 1 3 2
10 01
8 10 11 9 4 5 7 6
11 11
12 14 15 13 12 13 15 14
01 10
4 6 7 5 8 9 11 10
ba 00 10 11 01 b a 00 01 11 10
dc dc
00 00
0 2 3 1 0 1 3 2
10 01
8 10 11 9 4 5 7 6
11 11
12 14 15 13 12 13 15 14
01 10
4 6 7 5 8 9 11 10
Ejemplo 1: Se desea obtener una función f (d,c,b,a) que detecte los múltiplos de 3 y 4 de un
numero de cuatro bits (d,c,b,a) en binario natural.
d Detector de
c múltiplos f
b de 3 y 4
a
decimal dcba f
0 0000 1
1 0001 0
2 0010 0
3 0011 1
4 0100 1
5 0101 0
6 0110 1
7 0111 0
8 1000 1
9 1001 1
10 1010 0
11 1011 0
12 1100 1
13 1101 0
14 1110 0
15 1111 1
tabla 1. Detector de múltiplos de 3 y 4 de un número en binario natural (Tabla de verdad).
Cuya función lógica en su forma canónica, en suma de términos producto (MINTERMS), es:
f = d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a + d ⋅c ⋅b ⋅a
f (d , c, b, a ) = ∑ (0,3,4,6,8,9,12,15)
La metodología para aplicar el método de Karnaugh a la simplificación de funciones lógicas
sigue los siguientes pasos:
1. Se elige la tabla adecuada al número de variables de la función lógica f.
ba 00 10 11 01
dc
00
0 2 3 1
10
8 10 11 9
11
12 14 15 13
01
4 6 7 5
ba 00 10 11 01
dc
00 1 1
0 2 3 1
10 1 1
8 10 11 9
11 1 1
12 14 15 13
01 1 1
4 6 7 5
ba 00 10 11 01
dc
00 1 1
0 2 3 1
10 1 1
8 10 11 9
11 1 1
12 14 15 13
01 1 1
4 6 7 5
Función simplificada:
f (c, b, a ) = b ⋅ a + d ⋅ c ⋅ a + d ⋅ c ⋅ b + d ⋅ c ⋅ b ⋅ a + d ⋅ c ⋅ b ⋅ a
Ejemplo 2: Igual que en el ejemplo 1, se desea obtener una función f (d,c,b,a) que detecte
los múltiplos de 3 y 4 de un numero de cuatro bits (d,c,b,a), pero esta vez el número está en
BCD.
decimal dcba f
0 0000 1
1 0001 0
2 0010 0
3 0011 1
4 0100 1
5 0101 0
6 0110 1
7 0111 0
8 1000 1
9 1001 1
10 1010 X
11 1011 X
12 1100 X
13 1101 X
14 1110 X
15 1111 X
tabla 2. Detector de múltiplos de 3 y 4 de un número en BCD (Tabla de verdad).
Cuya función lógica en su forma canónica, en suma de términos producto (MINTERMS), es:
f (d , c, b, a ) = d ⋅ c ⋅ b ⋅ a + d ⋅ c ⋅ b ⋅ a + d ⋅ c ⋅ b ⋅ a + d ⋅ c ⋅ b ⋅ a + d ⋅ c ⋅ b ⋅ a + d ⋅ c ⋅ b ⋅ a
f (c, b, a ) = ∑ (0,3,4,6,8,9 )
La metodología para aplicar el método de Karnaugh a la simplificación de funciones lógicas
incompletas sigue los mismos pasos, con alguna pequeña diferencia, que en el caso
anterior:
1. Se elige la tabla adecuada al número de variables de la función f.
ba 00 10 11 01
dc
00
0 2 3 1
10
8 10 11 9
11
12 14 15 13
01
4 6 7 5
ba 00 10 11 01
dc
00 1 1
0 2 3 1
10 1 X X 1
8 10 11 9
11 X X X X
12 14 15 13
01 1 1
4 6 7 5
ba 00 10 11 01
dc
00 1 1
0 2 3 1
10 1 X X 1
8 10 11 9
11 X X X X
12 14 15 13
01 1 1
4 6 7 5
Función simplificada:
f (c, b, a ) = d + b ⋅ a + c ⋅ a + c ⋅ b ⋅ a
1. Un motor controlado por tres interruptores a, b y c se pone en marcha (mediante la activación de la señal
M a nivel alto) cuando se cumple cualquiera de las siguientes condiciones:
• Se activa, a nivel alto, solamente a.
• Se activan a la vez a y c a nivel alto (y no se acciona b).
• Se activan a la vez b y c a nivel alto (y no se acciona a).
Obtén la ecuación simplificada de control del motor e implementa el circuito mediante:
a) Puertas OR, NOT y AND.
b) Puertas NAND.
3. Tenemos un ascensor en un edificio de nueve plantas que está informando del piso en que se encuentra
mediante un número codificado en binario natural de cuatro bits (P[3:0]). Queremos realizar un sistema
que nos avise cuando la cabina se encuentre en las plantas baja, tercera, cuarta, quinta y novena
activando, a nivel alto, la señal S.
a) Obtén a tabla de verdad de la ecuación lógica.
b) Simplifica la función mediante Karnaugh.
c) Implementa el circuito con puertas AND, OR y NOT de cualquier número de entradas.
4. Los cuatro sensores de profundidad de un sumergible suministran cuatro variables lógicas con las
siguientes características:
• P1 = 0 si la profundidad P ≥ 0 m.
• P2 = 0 si la profundidad P ≥ 5 m.
• P3 = 0 si la profundidad P ≥ 10 m.
• P4 = 0 si la profundidad P ≥ 30 m.
Diseña con puertas básicas un dispositivo de control que emita una señal:
a) S = 1, cuando el sumergible navegue en superficie (0 ≤ P < 5).
b) N = 1, cuando el sumergible navegue a profundidad normal (10 ≤ P < 30).
5. Un dispositivo digital de una agenda electrónica recibe un dato de otro dispositivo anterior en código
binario natural de 4 bits (M[3:0]) que representa los meses del año (de forma que 0001 indica el mes de
enero, 0010 febrero, y así sucesivamente hasta 1100 que indica el mes de diciembre).
Diseña un circuito digital que dé una salida (S=1) informándonos si el mes en cuestión es de 31 días.
a) Utilizando puertas lógicas básicas
b) Utilizando puertas NOR de dos entradas
6. Se desea controlar dos bombas B1 y B2 (activas a nivel alto) de acuerdo con el nivel de líquido existente
en un depósito, controlado por lo sensores c y d, activos a nivel alto cuando están cubiertos de agua. Su
funcionamiento ha de ser tal como se describe a continuación:
• Cuando el nivel de líquido se encuentra comprendido entre los sensores c y d debe funcionar la
bomba B1 (o B2 si la temperatura del motor excede un cierto límite prefijado) y se parará cuando se
active el sensor d.
• Si el nivel de líquido se encuentra por debajo de c se deben activar ambas bombas.
• En caso de funcionamiento anormal de los sensores del depósito (se active d cuando no lo está c)
ambas bombas se pararán.
• Además, ambas bombas contarán con sendos sensores de temperatura a y b para B1 y B2
respectivamente, de tal forma que si la temperatura del motor excede un cierto límite
(detectándose con un nivel alto en el sensor correspondiente), el detector se activará parando el
motor.
Se pide diseñar un circuito que active las bombas B1 y B2 para que el sistema tenga el comportamiento
deseado, para ello:
a) Obtener la tabla de verdad.
b) Simplificar las funciones obtenidas.
c) Implementar el circuito con puertas básicas.
d) Implementar el circuito que genera B2, con puertas NAND de dos entradas.
7. Se desea diseñar un circuito combinacional que dispone una entrada A[1:0] de dos bits, y una señal de
control (C) de un bit, de tal modo que si dicha señal es cero, en la salida del circuito obtenemos la entrada
multiplicada por cinco; si la señal de control es 1, en la salida tendremos la entrada más 9.
a) ¿Cuántos bits necesitará en la salida para representar el resultado?
b) Suponiendo que a la salida se obtiene R[3:0] de 4 bits, obtenga la tabla de verdad y las funciones
lógicas simplificadas.
c) Implemente el circuito usando un máximo de 8 puertas lógicas de 2 o 3 entradas, y los inversores
que considere necesarios.
Ejemplos de funciones lógicas
= + + +
c) Implementa el circuito con puertas AND, OR y NOT de cualquier número de entradas.
4. Los cuatro sensores de profundidad de un sumergible suministran cuatro variables lógicas con las
siguientes características:
• P1 = 0 si la profundidad P ≥ 0 m.
• P2 = 0 si la profundidad P ≥ 5 m.
• P3 = 0 si la profundidad P ≥ 10 m.
• P4 = 0 si la profundidad P ≥ 30 m.
Diseña con puertas básicas un dispositivo de control que emita una señal:
a) S = 1, cuando el sumergible navegue en superficie (0 ≤ P < 5).
b) N = 1, cuando el sumergible navegue a profundidad normal (10 ≤ P < 30).
P1 P2 P3 P4 S N Situación
0 0 0 0 0 0 Todos activos P > 30
0 0 0 1 0 1 10 ≤ P < 30 navegación a profundidad normal
0 0 1 0 X X Situación no posible
0 0 1 1 0 0 5≤ P<10
0 1 0 0 X X Situación no posible
0 1 0 1 X X Situación no posible
0 1 1 0 X X Situación no posible
0 1 1 1 1 0 0≤P<5 navegación en superficie
1 0 0 0 X X Situación no posible
1 0 0 1 X X Situación no posible
1 0 1 0 X X Situación no posible
1 0 1 1 X X Situación no posible
1 1 0 0 X X Situación no posible
1 1 0 1 X X Situación no posible
1 1 1 0 X X Situación no posible
1 1 1 1 0 0 Todos inactivos P<0
= =
P1 S
P2
P3 N
P4
5. Un dispositivo digital de una agenda electrónica recibe un dato de otro dispositivo anterior en código
binario natural de 4 bits (M[3:0]) que representa los meses del año (de forma que 0001 indica el mes de
enero, 0010 febrero, y así sucesivamente hasta 1100 que indica el mes de diciembre).
Diseña un circuito digital que dé una salida (S=1) informándonos si el mes en cuestión es de 31 días.
M3 M2 M1 M0 S
0 0 0 0 X
Ene. 0 0 0 1 1
Feb. 0 0 1 0 0
Mar. 0 0 1 1 1
Abr. 0 1 0 0 0
May. 0 1 0 1 1
Jun. 0 1 1 0 0
Jul. 0 1 1 1 1
Ago. 1 0 0 0 1
Sept. 1 0 0 1 0 S= + = ⊕
Oct. 1 0 1 0 1
Nov. 1 0 1 1 0
Dic. 1 1 0 0 1 a) Utilizando puertas lógicas básicas
1 1 0 1 X
1 1 1 0 X
1 1 1 1 X
S= + = + + +
M0
S
M3
6. Se desea controlar dos bombas B1 y B2 (activas a nivel alto) de acuerdo con el nivel de líquido existente
en un depósito, controlado por lo sensores c y d, activos a nivel alto cuando están cubiertos de agua. Su
funcionamiento ha de ser tal como se describe a continuación:
• Cuando el nivel de líquido se encuentra comprendido entre los sensores c y d debe funcionar la
bomba B1 (o B2 si la temperatura del motor excede un cierto límite prefijado) y se parará cuando
se active el sensor d.
• Si el nivel de líquido se encuentra por debajo de c se deben activar ambas bombas.
• En caso de funcionamiento anormal de los sensores del depósito (se active d cuando no lo está c)
ambas bombas se pararán.
• Además, ambas bombas contarán con sendos sensores de temperatura a y b para B1 y B2
respectivamente, de tal forma que si la temperatura del motor excede un cierto límite
(detectándose con un nivel alto en el sensor correspondiente), el detector se activará parando el
motor.
Se pide diseñar un circuito que active las bombas B1 y B2 para que el sistema tenga el comportamiento
deseado, para ello:
a) Obtener la tabla de verdad.
= ̅ = ̅ ̅+ ̅= ̅ ̅+
c) Implementar el circuito con puertas básicas.
d) Implementar el circuito que genera B2, con puertas NAND de dos entradas.
= ̅ ̅+ ̅= ̅ ̅+ = ̅ ̅+ = ̅ = ̅ = ̅
7. Se desea diseñar un circuito combinacional que dispone una entrada A[1:0] de dos bits, y una señal de
control (C) de un bit, de tal modo que si dicha señal es cero, en la salida del circuito obtenemos la
entrada multiplicada por cinco; si la señal de control es 1, en la salida tendremos la entrada más 9.
a) ¿Cuántos bits necesitará en la salida para representar el resultado?
En el peor caso:
C = 0 R=3·5=15
C=1 R = 3+9=12
Por tanto, con 4 bits será suficiente para representar el resultado.
b) Suponiendo que a la salida se obtiene R[3:0] de 4 bits, obtenga la tabla de verdad y las funciones
lógicas simplificadas.
C A1 A0 R3 R2 R1 R0 = +
0 0 0 0 0 0 0
0 0 1 0 1 0 1 = + ̅ = + ̅
0 1 0 1 0 1 0 = ̅ + + = ̅+ +
0 1 1 1 1 1 1
1 0 0 1 0 0 1 = + ̅ = ⊕
1 0 1 1 0 1 0
1 1 0 1 0 1 1
1 1 1 1 1 0 0
Universidad de Alcalá
(12/09/2013)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 1 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 4
Cuestión 3 ...................................................................................................................................................... 5
Cuestión 4 ...................................................................................................................................................... 6
Cuestión 5 ...................................................................................................................................................... 7
Cuestión 6 ...................................................................................................................................................... 8
Cuestión 7 ...................................................................................................................................................... 9
Figura 1.1
Para leer de manera automática estas etiquetas se utiliza un sistema de visión artificial que
entrega 4 bits por cada columna: I[3:0] para la información relativa a los dos cuadros que
componen la columna izquierda de la etiqueta y D[3:0] para codificar la información contenida
en la columna derecha. Los cuadros pueden estar en blanco o bien coloreados en negro o gris.
Tal y como se muestra en la siguiente tabla, los dos bits más significativos de cada columna
indican si hay cuadros coloreados (negro o gris sin especificar su color).
Número de bit
Significado para la columna I (izda) o D (dcha)
I3 / D3 I2 / D2
Tabla 1.1
Serán etiquetas válidas para este comercio aquellas que cumplan simultáneamente los
siguientes requisitos:
1) Ignorando en este apartado el significado de los bits menos significativos de los códigos de
cada columna, diseñe con la información reflejada en la Tabla 1.1 un circuito que compruebe
la validez de las etiquetas y genere una señal de salida ‘EtVal’ que se active a nivel bajo
cuando la etiqueta sea válida según las normas descritas. Utilice únicamente puertas lógicas
básicas.
2) Para aplicaciones posteriores un poco más avanzadas, es necesario poder detectar algunas
características de las combinaciones de colores utilizadas en las etiquetas. Para ello, los dos
bits menos significativos del código de cada columna facilitan información sobre el color de
los cuadros coloreados. Centrándonos en la columna izquierda, la información de estos bits
I1 e I0 sería la siguiente:
• I1 representa el color del cuadro superior de la columna izquierda. En caso de que el
código de los bits I3 e I2 indique que este cuadro está coloreado (con los códigos 01 y 11
como hemos descrito en la Tabla 1.1), este bit valdrá 1 si su color es negro y 0 si es gris.
Si los bits I3 e I2 indican que este cuadro está en blanco (códigos 00 y 10), el valor de
este bit será siempre 0.
• I0 representa el color del cuadro inferior de la columna izquierda. Al igual que para I1,
en el caso de que el código de los bits I3 e I2 indique que este cuadro está coloreado
(códigos 10 y 11 de la Tabla 1.1), este bit valdrá 1 si su color es negro y 0 si es gris. Si los
bits I3 e I2 indican que este cuadro está en blanco (códigos 00 y 01), el valor de este bit
será siempre 0.
Trabajando únicamente con la información de la columna izquierda I[3..0], plantee la tabla de
verdad y obtenga las ecuaciones lógicas de dos señales ‘Neg’ y ‘Gri’ que se activen a nivel alto
cuando en dicha columna haya al menos un cuadro negro en el caso de ‘Neg’ y cuando haya al
menos un cuadro coloreado en gris en el caso de ‘Gri’. Utilice aquellas combinaciones de valores
de entrada que estime imposibles para simplificar al máximo las ecuaciones. NO dibuje el
circuito.
Cuestión 2
Se quiere diseñar el sistema de posicionamiento de las barras de control de un reactor nuclear.
En función de las señales recibidas de tres sensores, uno de Temperatura (Ta), otro de
producción de neutrones (Pn) y otro de demanda de turbina (Tu) y con las especificaciones que
se indican posteriormente, debe generarse el código de posición de las barras: BF BM BD, según
la tabla 1. Existe además un sistema de parada de emergencia (S) accionado manualmente por el
operador.
Ta Pn Tu
Botón parada de
emergencia
Sistema de posicionamiento
de las barras
S
BF BM BD
BF BM BD Posición de las barras
Accionamiento
posición de la barras
0 1 1 Fuera del núcleo
Barras de control
1 0 1 En medio del núcleo
Tabla 1
Núcleo
Figura 1.
Especificaciones del sistema:
• Si ninguno de los sensores están activos (todos a nivel bajo) las barras están fuera del
núcleo.
• Si se activan a nivel alto los sensores Ta y Pn a la vez, las barras se posicionan dentro del
núcleo.
• Cuando se activa a nivel alto uno de los sensores (Ta o Pn) las barras deben posicionarse
en mitad del núcleo.
• Si la turbina demanda energía (Tu = 1) las barras deben salir del núcleo. La turbina no
puede demandar energía si alguno de los otros dos sensores están activos.
• En el caso de que el operador accione el sistema de parada de emergencia, produce una
señal a nivel alto que hace que se ignoren el resto de señales y posiciona las barras
dentro del núcleo.
Se pide:
1) Completar la tabla de verdad que codifica el sistema descrito para el control de la posición
de las barras.
2) Implementar el circuito correspondiente a la señal BM con puertas NAND como máximo de 3
entradas.
Cuestión 3
En una empresa hay 5 compresores de aire acondicionado de distintas potencias y
localizaciones, para usar según distintas necesidades. Cada compresor tiene un consumo
distinto, como se indica a continuación: A= 15, B=12, C=10, D=9, E=5 KW hora.
Cada uno de ellos se encuentra alimentado independientemente y podrían funcionar todos a la
vez. Sin embargo, es necesario diseñar un sistema de protección que limite la potencia
consumida y bloquee el funcionamiento cuando la potencia conjunta supere los 30KW hora.
Sabiendo que cada compresor tiene una señal digital de salida asociada que entrega un ‘1’
cuando está en funcionamiento, encuentre la función lógica, activa a nivel alto, que rige el
sistema limitador de potencia y simplifique su diseño para que sea lo más sencillo posible con un
solo tipo de puertas.
Nota.- Para hacer un mapa de Karnaugh de 5 variables realice un mapa de 16 celdas con la
variable de mayor peso igual a 1 y otro mapa de 16 celdas con la variable de mayor peso a 0. Al
simplificar puede agrupar también, en potencias de dos, los grupos que ocupen iguales
posiciones en ambos mapas de 16 casillas.
Cuestión 4
El circuito de la figura 1 está realizado con puertas de la familia 4011B alimentadas a 5V. La
salida de dicho circuito está conectada a un sistema digital con una capacidad de entrada
Cin=40pF. Sabiendo que cada entrada de las puertas XOR y NAND tiene una capacidad de
entrada: CinXOR=20pF y CinNAND=15pF, respectivamente, se pide:
1. Calcule, a partir de la característica tiempo de propagación-capacidad de carga de la figura 2, el
tiempo de propagación de cada una de las puertas que forman el circuito.
ENTRADA 1 1
3
A 3
B 1
2
1 2
2 3
SALIDA
2
3
Figura 1
Figura 2
2. En el circuito de la figura 1, suponiendo que las puertas tuvieran unos tiempos de propagación
de tp1=tp2=30ns y tp3=10ns, represente en el siguiente cronograma la evolución temporal de la
salida a partir de la señal de entrada.
ENTRADA
SALIDA
Cuestión 5
A partir de las características eléctricas de las familias lógicas TTL estándar y LVT,
proporcionadas en la siguiente tabla, se pide:
2. ¿Cuántas entradas de la familia LVT se pueden conectar a una salida de la familia TTL
estándar, de manera que el circuito funcione correctamente?
Cuestión 6
Se dispone de dos familias lógicas: A y B. Complete la tabla con los valores más ajustados
posible, para que la conexión mostrada en la figura, sea posible y mantenga los siguientes
márgenes de ruido: NMH=0.5 V; NML=0.2 V. En caso de que el valor del parámetro no influya en
la conexión, márquese con un ‘*’.
B 3V 2V 8µA 2µA
A B
B
Vcc
Cuestión 7
En el circuito de la figura 1.1 se introduce una señal de entrada como la mostrada en la gráfica. A
partir de los datos eléctricos de cada una de las puertas lógicas, represente las formas de onda
de salida sin tener en cuenta los tiempos de propagación.
Datos:
VE
CMOS: Alimentación VDD=6V, 5V
3V
VILmax= 3V=VIHmin
1V
VOL= 0V
VOH= 6V V0
5V
TTL: VILmax= 1V
3V
VIHmin= 3V
1V
VOL= 2V
V1
VOH= 4V 5V
Zona de transición de 3V
niveles lineal
1V
CMOS
V0
TTL
VE V1
Universidad de Alcalá
(12/09/2013)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 1 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 5
Cuestión 3 ...................................................................................................................................................... 7
Cuestión 4 ...................................................................................................................................................... 8
Cuestión 5 ...................................................................................................................................................... 9
Cuestión 6 ................................................................................................................................................... 10
Cuestión 7 ................................................................................................................................................... 11
Cuestión 1
En un determinado comercio se ha decidido clasificar los productos mediante unas etiquetas
sencillas como la mostrada en la Figura 1.1.
Figura 1.1
Para leer de manera automática estas etiquetas se utiliza un sistema de visión artificial que
entrega 4 bits por cada columna: I[3:0] para la información relativa a los dos cuadros que
componen la columna izquierda de la etiqueta y D[3:0] para codificar la información contenida
en la columna derecha. Los cuadros pueden estar en blanco o bien coloreados en negro o gris.
Tal y como se muestra en la siguiente tabla, los dos bits más significativos de cada columna
indican si hay cuadros coloreados (negro o gris sin especificar su color).
Número de bit
Significado para la columna I (izda) o D (dcha)
I3 / D3 I2 / D2
Tabla 1.1
Serán etiquetas válidas para este comercio aquellas que cumplan simultáneamente los
siguientes requisitos:
1) Ignorando en este apartado el significado de los bits menos significativos de los códigos de
cada columna, diseñe con la información reflejada en la Tabla 1.1 un circuito que compruebe
la validez de las etiquetas y genere una señal de salida ‘EtVal’ que se active a nivel bajo
cuando la etiqueta sea válida según las normas descritas. Utilice únicamente puertas lógicas
básicas.
2) Para aplicaciones posteriores un poco más avanzadas, es necesario poder detectar algunas
características de las combinaciones de colores utilizadas en las etiquetas. Para ello, los dos
bits menos significativos del código de cada columna facilitan información sobre el color de
los cuadros coloreados. Centrándonos en la columna izquierda, la información de estos bits
I1 e I0 sería la siguiente:
• I1 representa el color del cuadro superior de la columna izquierda. En caso de que el
código de los bits I3 e I2 indique que este cuadro está coloreado (con los códigos 01 y 11
como hemos descrito en la Tabla 1.1), este bit valdrá 1 si su color es negro y 0 si es gris.
Si los bits I3 e I2 indican que este cuadro está en blanco (códigos 00 y 10), el valor de
este bit será siempre 0.
• I0 representa el color del cuadro inferior de la columna izquierda. Al igual que para I1,
en el caso de que el código de los bits I3 e I2 indique que este cuadro está coloreado
(códigos 10 y 11 de la Tabla 1.1), este bit valdrá 1 si su color es negro y 0 si es gris. Si los
bits I3 e I2 indican que este cuadro está en blanco (códigos 00 y 01), el valor de este bit
será siempre 0.
Trabajando únicamente con la información de la columna izquierda I[3..0], plantee la tabla de
verdad y obtenga las ecuaciones lógicas de dos señales ‘Neg’ y ‘Gri’ que se activen a nivel alto
cuando en dicha columna haya al menos un cuadro negro en el caso de ‘Neg’ y cuando haya al
menos un cuadro coloreado en gris en el caso de ‘Gri’. Utilice aquellas combinaciones de valores
de entrada que estime imposibles para simplificar al máximo las ecuaciones. NO dibuje el
circuito.
Cuestión 2
Se quiere diseñar el sistema de posicionamiento de las barras de control de un reactor nuclear.
En función de las señales recibidas de tres sensores, uno de Temperatura (Ta), otro de
producción de neutrones (Pn) y otro de demanda de turbina (Tu) y con las especificaciones que
se indican posteriormente, debe generarse el código de posición de las barras: BF BM BD, según
la tabla 1. Existe además un sistema de parada de emergencia (S) accionado manualmente por el
operador.
Ta Pn Tu
Botón parada de
emergencia
Sistema de posicionamiento
de las barras
S
BF BM BD BF BM BD Posición de las barras
Accionamiento
posición de la barras 0 1 1 Fuera del núcleo
Tabla 1
Núcleo
Figura 1.
Especificaciones del sistema:
• Si ninguno de los sensores están activos (todos a nivel bajo) las barras están fuera del
núcleo.
• Si se activan a nivel alto los sensores Ta y Pn a la vez, las barras se posicionan dentro del
núcleo.
• Cuando se activa a nivel alto uno de los sensores (Ta o Pn) las barras deben posicionarse
en mitad del núcleo.
• Si la turbina demanda energía (Tu = 1) las barras deben salir del núcleo. La turbina no
puede demandar energía si alguno de los otros dos sensores están activos.
• En el caso de que el operador accione el sistema de parada de emergencia, produce una
señal a nivel alto que hace que se ignoren el resto de señales y posiciona las barras
dentro del núcleo.
Se pide:
1) Completar la tabla de verdad que codifica el sistema descrito para el control de la posición
de las barras.
Cuestión 3
En una empresa hay 5 compresores de aire acondicionado de distintas potencias y
localizaciones, para usar según distintas necesidades. Cada compresor tiene un consumo
distinto, como se indica a continuación: A= 15, B=12, C=10, D=9, E=5 KW hora.
Cada uno de ellos se encuentra alimentado independientemente y podrían funcionar todos a la
vez. Sin embargo, es necesario diseñar un sistema de protección que limite la potencia
consumida y bloquee el funcionamiento cuando la potencia conjunta supere los 30KW hora.
Sabiendo que cada compresor tiene una señal digital de salida asociada que entrega un ‘1’
cuando está en funcionamiento, encuentre la función lógica, activa a nivel alto, que rige el
sistema limitador de potencia y simplifique su diseño para que sea lo más sencillo posible con un
solo tipo de puertas.
Nota.- Para hacer un mapa de Karnaugh de 5 variables haga un mapa de 16 celdas con la
variable de mayor peso igual a 1 y otro mapa de 16 celdas con la variable de mayor peso a 0. Al
simplificar puede agrupar también, en potencias de dos, los grupos que ocupen iguales
posiciones en ambos mapas de 16 casillas.
Cuestión 4
El circuito de la figura 1 está realizado con puertas de la familia 4011B alimentadas a 5V. La
salida de dicho circuito está conectada a un sistema digital con una capacidad de entrada
Cin=40pF. Sabiendo que cada entrada de las puertas XOR y NAND tiene una capacidad de
entrada: CinXOR=20pF y CinNAND=15pF, respectivamente, se pide:
1. Calcule, a partir de la característica tiempo de propagación en función de la capacidad de carga
de la figura 2, el tiempo de propagación de cada una de las puertas que forman el circuito.
ENTRADA 1 1
3
A 3
B 1
2
1 2
2 3
SALIDA
2
3
Figura 1
Figura 2
De las gráficas tp3 ≈ 115ns (CL=40pF) , tp2 ≈ 98ns (CL=20pF) , tp1 ≈ 107ns (CL=30pF)
2. En el circuito de la figura 1, suponiendo que las puertas tuvieran unos tiempos de propagación
de tp1=tp2=30ns y tp3=10ns, represente en el siguiente cronograma la evolución temporal de la
salida a partir de la señal de entrada.
ENTRADA
Cuestión 5
A partir de las características eléctricas de las familias lógicas TTL estándar y LVT,
proporcionadas en la siguiente tabla, se pide:
2. ¿Cuántas entradas de la familia LVT se pueden conectar a una salida de la familia TTL
estándar, de manera que el circuito funcione correctamente?
Cuestión 6
Se dispone de dos familias lógicas: A y B. Complete la tabla con los valores más ajustados
posible, para que la conexión mostrada en la figura, sea posible y mantenga los siguientes
márgenes de ruido: NMH=0.5 V; NML=0.2 V. En caso de que el valor del parámetro no influya en
la conexión, márquese con un ‘*’.
B 3V 2V 8µA 2µA
A B
B
Vcc
Cuestión 7
En el circuito de la figura 1.1 se introduce una señal de entrada como la mostrada en la gráfica. A
partir de los datos eléctricos de cada una de las puertas lógicas, represente las formas de onda
de salida sin tener en cuenta los tiempos de propagación.
Datos:
VE
CMOS: Alimentación VDD=6V, 5V
3V
VILmax= 3V=VIHmin
1V
VOL= 0V
VOH= 6V V0
5V
TTL: VILmax= 1V
3V
VIHmin= 3V
1V
VOL= 2V
V1
VOH= 4V 5V
Zona de transición de 3V
niveles lineal
1V
CMOS
V0
TTL
VE V1
Representación: Entrada
Electrónica Digital activa a
I
nivel alto 0
Entradas auxiliares
(1)
A0 A1 . . AR-1
.. Entrada
I0 O0 activa a I0
nivel bajo
Entradas
Sa
I1 O1
Sistema
alidas
I2 O2 (0)
Tema 2 . . combinacional . .
Circuitos combinacionales
.
. . .
Entrada
IN-1 OM-1
activa a I0
.. nivel bajo
S0 S1 . . SP
Entradas de control Entrada
activa a I0
1 o Nivel alto de tensión
ó (H), cercano a Vcc
nivel bajo
0 o Nivel bajo de tensión bajo (L), cercano a masa
ED- Tema 2 Circuitos Combinacionales 4
Circuitos combinacionales
Índice Multiplexores
p
instante I0
1 X X … X X X 0
Nivel no
activo
0 0 0 … 0 0 0 I0
La misma combinación de entradas I1 0 0 0 … 0 0 1 I1
siempre
s e p e da lugar
uga a los
os mismos
s os valores
a o es de .
.
.
.
MPX O 0 0 0 … 0 1 0 I2
salida . . 0 0 0 … 0 1 1 I3
IN-1 … … … … … … … …
Se suelen describir mediante la tabla de .. 0 1 1 … 1 1 0 IN-2
verdad Sm-1 . .S0 0 1 1 … 1 1 1 IN-1
E S1 S0 O
I2 : in bit; -- canal entrada 1 bits
I3 : in bit; -- canal entrada 1 bits
I0
S0 : in bit; -- entradas de selección
1 X X 0
E : in bit; -- enable
O : out bit); -- salida
0 0 0 I0
MPX O
architecture funcionmux of mux1 is
dispositivos
di iti ““pequeños”
ñ ” se necesitan
it para ttener ttall nº
ºdde entradas?
t d ?¿ ¿y para
I2 0 0 1 I1
begin -- funcionmux
-- purpose: funcionamiento multiplexor de cuatro canales de un bit tener tal nº de salidas? o Coger el valor más restrictivo
I3
-- type : combinational
-- inputs : I0, I1, I2, I3, E, S1, S0
Para un multiplexor de 2 canales de 4 bit Extensión del número de bits por canal. Ejemplo:
Código VHDL Obtener un mux. de
Obt d 2 canales
l ded 4 bits
bit a partir
ti de
d mux. de
d 2
E A: [A3 A2 A1 A0] entity Mux4 is canales de 1 bit
port ( A0 A
B: [B3 B2 B1 B0] A : in bit_vector(3
-- dato A 4 bits
( downto 0);
);
B0 MPX
O O0
B : in bit_vector(3 downto 0); B
O: [O3 O2 O1 O0] -- dato B 4 bits
S, E : in bit;
S
A -- selección y enable
O : out bit_vector(3 downto 0));
MPX O
-- salida 4 bits
A1 A
end Mux4;
O1 S’ O 0 O1 O2 O3
B1 MPX O
architecture funcionmux of Mux4 is B
B begin -- funcionmux
-- purpose: selección dato A o B en la salida S 0 A0 A1 A2 A3
-- type
yp : combinational
-- inputs : A, B, S, E A
E S O A2
O 1 B0 B1 B2 B3
-- outputs: O
process (A, B, S, E) MPX O2
begin -- process B2
B
S 1 X 0 if E = '0' then
if S = '0' then
O <= A; S
0 0 A else
O <= B;
end if; A3 A
0 1 B else O3
O <= "0000"; B3 MPX O
end if; B
end process;
end funcionmux; S
O3 = A3 , O2 = A2 , O1 = A1 , O0 = AO S’
A’
E S1 0 0 1 1 0 0 1 1 A O
B’ MPX S1’ S0’ O’
A
B O
I0 S0 0 1 0 0 1 0 1 MPX O’
00 A’
I1 S B
MPX O 01 B’
I2 O C’ S
I3 A 10 C’
I I I I I I I I D’ MPX O
0 1 2 3 0 1 2 3
S1 S0
B 11 D’
D
S
S0 ’ S1 ’
0 X X 0 0 0 0
entity deco24 is
O0 port (
E : in bit; -- enable
O0
I : in bit_vector ( 1 downto 0); -- entradas
O : out bit_vector (3 downto 0)); -- salidas
O1 end deco24;
architecture funciondeco of deco24 is
or
O1
begin -- funciondeco
odificado
D D O0 -- purpose: funcionamiento del decodificador 2 a 4
O2 DMX . . I0
-- type : combinational
-- inputs : (E,I)
O1
2a4
-- outputs: O
. . process (E, I)
. .
begin -- process
. . if E = '1' then
. . O2
case I is
I1
when "00" => O <= "0001";
. . ON-1
Deco
when "01" => O <= "0010";
when "10" => O <= "0100";
...
else
O <= "0000";
.
.
end if;
Sm-1..S0
end process;
E
ED- Tema 2 Circuitos Combinacionales 13 ED- Tema 2 Circuitos Combinacionales 16
0 E
Bin/Dec 1
2
O0
ecodificador
3 Dispositivo
O0 Dirección A12 4 E/S
O1 1
dificadorr
I0 O1 I0
del puerto A13
2
5 E
2a4
O2 E/S A14 4
6
7
A15
O2 O3 8
3a8
8
I1 I1 9
O4 Monitor
O3 10
Decod
E/S
I2
De
O5 11
12
E
O6 13
14
O7 Solicitud de
& E 15 Modem
E/S
E/S
E
Decodificador de dirección de puertos: por medio de las líneas A15…A A12 del bus
de direcciones elijo a qué dispositivo envío datos. (También podría recibir datos)
Construcción de demultiplexores
demultiplexores De cátodo común (los 7 cátodos
á unidos) :
a b c d e f g
Comparémoslos D
EN Excitaremos
O' EN I I con un nivel
i l
O0 O D S S 0 1 0 alto en a,b..g
cador
0 1 0 O’0 O' EN I I
O1 O D S S I0 1 1 0
D 1 1 0 O1
O’
2a4
Decodific
DMX O'' EN I I
O2 O D S S O’2 2 1 0 Terminal común: se llevará a masa
2 1 0 I1
O3 O' EN I I
O
3
D S S
1 0
O’3 3 1 0 De ánodo común: Excitaremos
D
Colocación real
VCC con un nivel de los segmentos
Term. común: a Vcc bajo en a,b..g
S0 S1 O' EN I I DS S O
0 1 0 1 0 0
I S ;I S O'
O EN I I DS S O
Si hacemos 1 1 0 0 1 1 0 1 0 1
EN D O' EN I I DS S O
2 1 0 1 0 2 Sistema
Sistema
So funcionalmente
¡Son u c o a e te idénticos!
dé t cos O' EN I I DS S O Driver
3 1 0 1 0 3 digital visualización
¿Cómo se haría el demultiplexor si tuviera, además, señal de enable E? a b c d e f g
ED- Tema 2 Circuitos Combinacionales 19 ED- Tema 2 Circuitos Combinacionales 22
Sistema Sistema
digital Driver
visualización
Resto de pines (a,b…g) serán controlados por las salidas del driver
ED- Tema 2 Circuitos Combinacionales 20 ED- Tema 2 Circuitos Combinacionales 23
Displays de 7 segmentos:
ánodo: a potencial
más positivo Decodificadores BCD-7 segmentos
cátodo: a potencial
más negativo Uno para cada tipo de visualizador
driver con salidas activas a nivel bajo
Típicamente cada segmento (a,b,c…g) a iluminar es un diodo VCC
led: dispositivo
p ((de dos terminales: ánodo y cátodo)) q
que al a a
VCC
polarizarse adecuadamente emite luz D
b b
ID
c c
C driver
B
d d display VD
e e ánodo común V VD VOL max
Vcc
A
f f R
g g ID
VOLmax (driver)
Tienen un consumo elevado: Ejem. polarización Vcc driver con salidas activas a nivel alto
de diodo led: ID
Diodo requiere ID mínima a a
VD b Bloque b
Caída
C íd de
d tensión
t ió en diodo
di d VD Vcc VD
V D
c c
display cátodo
común
R C driver
d con d
ID R (limita B
e R’s e
corriente) A
f f
g g
Si ID=10mA; Vcc=5V; VD=1,5V ¿R?
A g port (
BCD : in bit_vector(3 downto 0);
-- entradas BCD
de más de una entrada
DISPLAY : out bit_vector(6 downto 0));
Sin prioridad:
-- salidas a los 7 segmentos
D C B A a b c d e f g end bcd_7seg;
architecture RTL of bcd_7seg is
0 0 0 0 0 0 0 0 0 0 1 begin -- RTL Si se activa más de una entrada, la salida es la
0 0 0 1 1 0 0 1 1 1 1
suma lógica de las salidas de cada entrada
-- purpose: funcionamiento del decodificador
-- type : combinational
-- inputs : BCD
0 0 1 0 0 0 1 0 0 1 0
activada
-- outputs: DISPLAY
process (BCD)
begin -- process
0 0 1 1 0 0 0 0 1 1 0 case (BCD) is
when "0000" => DISPLAY <= "0000001" ;
1 0 0 1 0 0 0 1 1 0 0
ED- Tema 2 Circuitos Combinacionales 25 ED- Tema 2 Circuitos Combinacionales 28
Output (BI/RBO)
0 0 1 0 0 0 1 0 0 1 0 O0
I1 0 0 0 0 1 0 0 0 0 0 0 1 0 0
4a2
Codifica
Circuitos que generan un código a la salida Circuito codificador (8-line to 3-line priority encoder)
(activando una combinación de salidas)
salidas), en
función de la entrada activa: E 0 EI A2
1 A1
E 2 A0
3
codif.
I0 4 GS
5 E0
I0 I1
Codificador
6
O0
Codifiicador
O0 I2 7
I1
4a2
I3 m
8a3
N O1
I2 O1 I4
I5 O2
I3
I6 Con las salidas GS y EO se deshace la indeterminación
I7 cuando el código de salida es A2A1A0=HHH
…
…
A>B A<B
ntradas
AN-1
Salida
Comparador
¡Ojo! oDiseño con prioridad en E0, luego E1… A=B
B0 N bits
as
En
Código VHDL A<B A0 B0 A>B A=B A<B
B1
E0 I S2
0 0 0 1 0
…
…
E1 S1
E2
driver
S0 BN-1 0 1 0 0 1
E3
E4 P1
1 0 1 0 0
E5 P0 1 1 0 1 0
E6
E7 Comparador de 1 bit
ED- Tema 2 Circuitos Combinacionales 31 ED- Tema 2 Circuitos Combinacionales 34
C
Codificador
difi d d de A3
teclado decimal A2
A1
A0
compar.
B3
OA>B
B2
OA<B
B1
OA=B
B0
IA>B
IA<B
A B
IA=B
Las entradas I A>B, I A<B I A=B sólo se verifican en el caso de que el
nº A = nº B (sus 4 bits iguales).
¿Qué nivel pondría en I A>B, I A<B e I A=B para que se active O A=B ?
¿Cómo haría (extensión) un comparador de 2 números de 8 bits?
ED- Tema 2 Circuitos Combinacionales 32 ED- Tema 2 Circuitos Combinacionales 35
E’ B
A_mayor_in
A_menor_in
:
:
:
in
in
in
bit_vector(3 downto 0);
bit;
bit;
--
--
--
A_mayor_in
entrada cascada mayor
entrada cascada menor
A i
A_igual_in
l i : i
in bit
bit; -- entrada
t d cascadad iigual
l
E
A_mayor_out : out bit; -- salida A>B
A_menor_out : out bit; -- salida A<B
A_igual_out : out bit); -- salida A=B
end comparador;
I7’
architecture funcioncomp of comparador is
begin -- funcioncomp
O6 I5’ O2’
-- outputs: A_mayor_out, A_menor_out, A_igual_out
ador
dor
if A > B then
O1’
odificado
I2
or
I3’
88 aa 33
Codifica
dificado
A menor out
A_menor_out <= '0';
Codifica
O4
A_igual_out <= '0';
elsif A < B then
I2’
A_menor_out <= '1';
I1 O0’
3a8
O3
A_mayor_out <= '0';
3a8
I1’
else A = B then
O2
if A_igual_in = '1' then
I0
A_igual_out <= '1';
I0’
I0
A_menor_out <= '0';
C
Deco
O1
A mayor out <= '0';
A_mayor_out
Deco
O0
A_menor_out <= '0';
A_igual_out <= '0';
else A_menor_in = '1' then
A_menor_out <= '1';
A_mayor_out <= '0';
A_igual_out <= '0';
end if;
end if;
end process;
end funcioncomp;
1100 11100
1000 s:out bit_vector(4 downto 0)); --rtdo. en un bit más (5 bits) para que no haya overflow(OV)
end sumador_o_restador_en_C2;
sumador o restador en C2;
1100c 2 4 11100c 2c 2 4 Mal 4 bits: architecture RTL of sumador_o_restador_en_C2 is
+ + cambio de
7
Begin
1101c 2 3 ¡OK! 11001c 2c 2 signo process (a, b, suma_o_resta)
4 bits (OVF) b i
begin -- process
11001c 2 7 110101
10101c 2 11? if suma_o_resta = '0' then
--suma números en C2, extendiendo a 5 bits (duplicando bit signo) para que no haya OV
bit signo 4 bits bit signo 4 bits s <= (a(3)&a) + (b(3)& b);
bit signo 5 bits ¡OK! else
5 bits --resta números en C2 (s = a - b =a + not(b) + 1), extendiendo a 5 bits (no haya OV)
s <= (a(3)&a) - (b(3)& b);
Sumando en 5 bits no hay overflow end if;
end process;
end RTL;
UAL
entradas de
selección de
operación
PREESTABLECER
F[3..0]=1111
F[3..0] 1111
ED- Tema 2 55
Circuitos combinacionales: Aritmética binaria
Aritmética binaria
Introducción a la multiplicación
ED- Tema 2 56
Circuitos combinacionales: Aritmética binaria
Electrónica Digital
Universidad de Alcalá
(12/09/2013)
Universidad de Alcalá Departamento de Electrónica
Índice
Cuestión 1 .......................................................................................................................................................... 3
Cuestión 2 .......................................................................................................................................................... 5
Cuestión 3 .......................................................................................................................................................... 6
Cuestión 4 .......................................................................................................................................................... 7
Cuestión 5 .......................................................................................................................................................... 9
Cuestión 6 ....................................................................................................................................................... 10
Cuestión 7 ....................................................................................................................................................... 11
Cuestión 8 ....................................................................................................................................................... 12
Cuestión 9 ....................................................................................................................................................... 13
Cuestión 10 .................................................................................................................................................... 14
Cuestión 11 .................................................................................................................................................... 16
Cuestión 12 .................................................................................................................................................... 17
Cuestión 13 .................................................................................................................................................... 18
Cuestión 14 .................................................................................................................................................... 19
Cuestión 15 .................................................................................................................................................... 20
Cuestión 16 .................................................................................................................................................... 21
Cuestión 17 .................................................................................................................................................... 22
Cuestión 18 .................................................................................................................................................... 23
Cuestión 19 .................................................................................................................................................... 24
Cuestión 20 .................................................................................................................................................... 25
Cuestión 21 .................................................................................................................................................... 26
Cuestión 22 .................................................................................................................................................... 27
Cuestión 23 .................................................................................................................................................... 28
Nota. En los problemas donde aparezcan circuitos integrados específicos (74LS138 etc.) sin la
tabla de verdad que los describe, el alumno deberá ayudarse de las hojas de características
(datasheets) de los mismos (fácilmente localizables a través de Internet) para corroborar cómo
funcionan (fundamentalmente, a través de la tabla de verdad del componente).
Tema 2, Enunciados 2 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
D[11..0]
D4
D8 Disp 1 Info
D5 4
G2B Y0
D6
Y1
D7
A Y2 G
B Y3
C Y4 Disp 2 Info
D8 4
74LS257 Y5
D9
1Y
G1 Y6
D10 SS1
D11 1A
G2A Y7 G
2Y
1B SS2 74LS138
2A Disp 3 4 Info
D9
2B
3Y SS3
3A
4Y
D10 3B SS4 G
D11
4A
4B Disp 4 Info
OE S
4
G
Activación de
área Área 2
Figura 1.1
1) Escriba el código de [D11..D0] para el siguiente caso: se introduce uno de los códigos de
activación válidos para el área 2 (el código 8h) y el usuario 4 escribe la información Ch.
D11 D10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0
Tema 2, Enunciados 3 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
2) Empleando el menor número posible de puertas lógicas adicionales, complete las conexiones
en el circuito mostrado a continuación para que el sistema de acceso a los dispositivos del
área 2 funcione de la forma descrita en el enunciado y en la Figura 1.1. Cada dispositivo se
habilita mediante una señal G .
D4 Disp1
D8
D5 G2B Y0 G
Y1
D6 A Y2 Disp2
G
B Y3
D7 C Y4
Disp3
SS2 G1
Y5
G
Y6
G2A Y7
Disp4
74LS138 G
3) Para habilitar el área 2 debe activarse correctamente la señal SS2, implementada en el
bloque de activación de área de la forma: SS 2 = D11 ⋅ D10 ⋅ D9 + D11 ⋅ D9 + D11 ⋅ D10 ⋅ D9 .
Complete las conexiones del circuito mostrado a continuación para que active correctamente
el área 2 del esquema de la Figura 1.1, sin emplear ningún elemento adicional. Indique qué
códigos de activación son válidos.
74LS257
SS1
1A 1Y
1B SS2
2A 2Y
2B SS3
3A 3Y
3B SS4
4A 4Y
4B
OE S
Códigos de activación válidos (D11 D10 D9 D8):
Tema 2, Enunciados 4 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 2
1) A partir de decodificadores 2 a 4, cuya tabla de funcionamiento se adjunta, obtenga un
decodificador 4 a 16 con una entrada de habilitación G activa a nivel bajo, entradas de
selección (D, C, B, A) activas a nivel alto, y salidas Y[15 : 0] activas a nivel bajo. Si es
necesario utilice inversores y/o otro decodificador 2 a 4.
A Y0
B Y1
Y2
G Y3
A Y0
B Y1
Y2
G Y3
A Y0
B Y1
Y2
G Y3
A Y0
B Y1
Y2
G Y3
2) Con el decodificador obtenido en el apartado anterior, diseñe un sistema combinacional de
16 entradas (A[15:0]) y 5 salidas ( CS[4 : 0] ), de manera que cada salida se active a nivel bajo
para el margen de combinaciones binarias de entrada indicado en la tabla siguiente:
Nota: Si es necesario puede emplear puertas NAND de cualquier número de entradas.
A[15:0] (hex)
Decodificador 4 a 16
Inicial Final Salida activa
A0 A Y0 CS0
A1 B Y1 CS1
A2 C Y2 CS2
0000H 07FFH CS0 A3 D Y3 CS3
A4 Y4 CS4
A5 Y5
0800H 17FFH CS1 A6 Y6
A7 Y7
A8 Y8
A9 Y9
1800H 37FFH CS2 A10 Y10
A11 Y11
A12 Y12
5800H 5FFFH CS3 A13
G
Y13
A14 Y14
A15 Y15
7000H 7FFFH CS4
Tema 2, Enunciados 5 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 3
Basándose en el dispositivo doble multiplexor de 4 canales, cuya tabla de funcionamiento se
adjunta, se pide:
1) Realice la función S=f(d,c,b,a)= (d ⋅ c ⋅ b ⋅ a ) + (c ⋅ b ⋅ a ) + (d ⋅ b ⋅ a ) mediante un multiplexor y
tres puertas NAND de dos entradas.
2C3 2Y
2C2
2C1
2C0
1Y
1C3
1C2
1C1
1C0
2G
1G
B A
2) Realice con el mínimo número de multiplexores anteriores un multiplexor de dos datos (A y
B) de 4 bits con patilla de inhibición (I) activa a nivel alto. Especifique la asignación de los
bits de entradas y salida así como sus pesos.
Tema 2, Enunciados 6 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 4
Diseñe un decodificador 4:16 con entradas, salidas y entrada de habilitación (E) activas a nivel
alto a partir de dos circuitos decodificadores 3:8, un comparador de 4 bits y las puertas básicas
que considere necesarias (justifique la respuesta). Indique claramente la localización de las
entradas y las salidas del sistema total y las conexiones entre los circuitos.
S0
S1
E0
E1 Cto. a
E2 diseñar
E3
S14
E S15
Decod. Y0
Y1
A3 COMP.
COMP. A Y2
A2 B Y3
A1 A<Bout C Y4
A0
A>Bout Y5
B3 Y6
B2 A=Bout Y7
B1 G2A G2B G1
B0
A<Bin A>Bin A=Bin
Decod. Y0
Y1
A Y2
B Y3
Y4
C
Y5
Y6
Y7
G2A G2B G1
Tema 2, Enunciados 7 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Comparador
Tema 2, Enunciados 8 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 5
Dado el circuito de la siguiente figura, compuesto por un decodificador y un multiplexor, en el
que las variables de entrada son “a” (LSB) y “d” (MSB), determínese:
1
15 Y0 2
A Y1 3
14 Y2 4 1
B Y3 5 2
7 13 Y4 6 6
E C Y5 7 4
4 12 Y6 9 5
Salida
1 2 3 I0 5 D Y7 10 7440
2 I1 Z Y8 11
7404 I2 Y9
1
15 I3 7442A
14 I4 6
13 I5 Z
12 I6
I7
a 11
10 S0
b 9 S1
c S2
d 74151A
1) Obtener la función canónica de salida.
2) Simplificar por Karnaugh dicha función.
3) Implemente la función utilizando puertas NOR de dos entradas.
Tema 2, Enunciados 9 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 6
A partir del circuito combinacional diseñado en la Figura 2.1.
Figura 2.1
1) (a) Obtenga la expresión algebraica de x como suma de minterms.
(b) Obtenga la expresión simplificada al máximo de x
2) Complete la siguiente tabla de verdad.
A B C D X Q3 Q2 Q1 Q0
0 0 0 0
0 0 0 1
0 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
1 0 1 0
1 0 1 1
1 1 0 0
1 1 0 1
1 1 1 0
1 1 1 1
Tema 2, Enunciados 10
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 7
1) Complete el circuito de la figura 2.1 para que funcione como un conversor Gray (G2 G1 G0) a
binario natural (B2 B1 B0) de 3 bits, empleando los decodificadores 2:4 y el codificador con
prioridad genérico 10 a 4, así como los inversores que considere necesarios.
Nota: Para indicar la conexión entre las salidas de los decodificadores y las entradas del
codificador, escriba en cada cuadro el número de entrada a la que iría conectada.
0
G0 A0 O0 Gray Binario
A1 O1 1
G1 O2 0 0 0 0 0 0 0
E O3 2 1 0 0 1 0 0 1
G2 2 B0 0 1 1 0 1 0
3 3 Z0
4 Z1 B1 0 1 0 0 1 1
4 5 Z2 1 1 0 1 0 0
6 Z3 B2
5 1 1 1 1 0 1
7
8 1 0 1 1 1 0
A0 O0 6 9 1 0 0 1 1 1
A1 O1
O2 7 Codificador 10 a 4
E O3
8
9
Figura 2.1. Conversor de Gray a Binario 3 bits.
2) A partir de un codificador con prioridad 8:3 (74ls148) y las puertas lógicas necesarias,
complete el circuito siguiente para que funcione como el codificador con prioridad 10 a 4 con
entradas y salidas activas a nivel bajo del apartado anterior.
Z0
0 0 A0
1 1 A1 Z1
2 2 A2 Z2
3 3 Z3
4 4 GS
5 5
6 6
7 7
8
9 EI EO
74LS148
Circuito codificador con prioridad 8:3
Circuito decodificador 2 a 4
Tema 2, Enunciados 11
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 8
A partir del circuito de la Figura 2.1, se pide:
Figura 2.1
1) Sabiendo que F = C DA + C DAB + CDB + CDB . Complete, con una única puerta, la zona
punteada.
2) Obtenga la función simplificada de F.
3) Complete la siguiente tabla.
A B C D F H I J
0 1 1 0
0 0 1 1
MUX 7485
S1 S0 Q
0 0 I0
0 1 I1
1 0 I2
1 1 I3
Tema 2, Enunciados 12
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 9
El circuito de la Figura 2.1 corresponde con un sistema de selección de tres dispositivos
(Devicei). Las líneas de entrada A[5:0] se utilizan para seleccionar cada dispositivo activando su
entrada CSi a nivel bajo.
Figura 2.1. Circuito de selección.
Se pide:
1) Complete la siguiente tabla, indicando qué dispositivo se activa en función de la combinación
de las líneas de entrada A[5:0].
Dispositivo
A[5:0]
seleccionado
100000
000100
001000
000111
001011
010011
101100
2) Indique razonadamente con cuántas combinaciones binarias diferentes de las líneas de
entrada se puede seleccionar cada dispositivo.
7485
DEMUX
I S1 S0 /Q3 /Q2 /Q1 /Q0
0 x x 1 1 1 1
1 0 0 1 1 1 0
1 0 1 1 1 0 1
1 1 0 1 0 1 1
1 1 1 0 1 1 1
Tema 2, Enunciados 13
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 10
En la figura 2.1 se muestran los elementos del sistema de apertura de la puerta de un garaje. El
mando a distancia dispone de dos interruptores, sw1 y sw2, cada uno de los cuales puede
configurarse manualmente en tres posiciones, de manera que se transmite el código C[3..0]
configurado. Con sw1 se codifican C3 C2, y con sw2 C1C0, de la forma indicada en la tabla para
cada una de las tres posiciones de ambos interruptores. La puerta se abre al activar con un nivel
bajo la señal AP del motor de apertura de puerta, MAP. Esto debe producirse cuando el código
transmitido coincide con el código seleccionado en P[3..0], o bien cuando la célula fotoeléctrica
CF se activa enviando un nivel bajo en F.
Figura 2.1
1) Al configurar cada interruptor en una posición se genera un nivel alto en su terminal
correspondiente (swi_c, swi_b ó swi_a) y el código C[3..0] se activa al pulsar P, que genera un
nivel bajo en Act. Cuando cesa la pulsación el código es 1111.
(a) Dada la configuración del sistema en la figura 2.1: indique en qué posiciones se deben
configurar los interruptores para que funcione el sistema de apertura. Añada una breve
explicación.
(b) Sin emplear ningún componente adicional, complete las conexiones en el siguiente
circuito para obtener correctamente el código C[3..0]. Indique claramente en qué puntos se
obtiene dicho código.
Tema 2, Enunciados 14
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
2) Realice las conexiones oportunas en el circuito mostrado a continuación para que el MAP se
active correctamente de la manera explicada en el enunciado. Emplee el mínimo nº de
puertas NOR.
74ls85
Tema 2, Enunciados 15
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 11
Se dispone de un sistema de movimiento del faro de un automóvil. Mediante un pulsador se
envía un comando, de cuatro posibles: arriba (U), abajo (D), derecha (R) e izquierda (L), que son
codificados en 4 bits, D3 D2 D1 D0, de la siguiente forma:
Arriba: 1101 Abajo: 1110 Derecha: 0111 Izquierda: 1011 Ninguno activo: 1111
1) En la Figura 2.1, el circuito para codificar el comando de movimiento se realiza con dos
codificadores de 4 a 2 con entrada de habilitación activa a nivel alto y salidas activas a nivel
bajo. Al actuar sobre cada una de las cuatro posiciones del pulsador, se genera un nivel alto
en su terminal correspondiente (U, D, R ó L) permaneciendo el resto a nivel bajo, no
pudiéndose producir la pulsación simultánea en dos posiciones diferentes del mismo.
Conecte los terminales del pulsador para que se codifique correctamente el comando de
movimiento en D3..D0. Para ello emplee únicamente dos puertas lógicas, de dos entradas,
adicionales.
U1
2
3 I0 4 D3
5 I1 W1
6 I2 7 D2
U I3 W0
15
EN
L R U1
74LS158
2
3 I0 4 D1
5 I1 W1
I2
D 6
I3 W0
7 D0
15
EN
74LS158
Figura 2.1
2) Realice las conexiones en la figura de debajo para que se ilumine el display de la forma
indicada con el código D3...D0, añadiendo únicamente las resistencias necesarias. Además
calcule razonadamente el valor de las resistencias empleadas para que se ilumine el
segmento con la máxima intensidad posible. DATOS: Codificadores: VOLmax=0.3V,
IOLmax=15mA. Display: ánodo común (AC), IFmin=8mA, IFmax=20mA, VF=1V. Vcc=5V.
NOTA: Suponga que las entradas de los codificadores están correctamente conectadas al resto
del circuito de codificación.
U1 a AC
2 b a
OFFPAGELEFT-L
b
I0 D3
3 4 c
OFFPAGELEFT-L
OFFPAGELEFT-L
5 I1
I2
W1 d
f
g
6 7 D2
OFFPAGELEFT-L I3 W0 e
OFFPAGELEFT-L
15 f e c
EN
74LS158 g
U1 d
2 Sin
OFFPAGELEFT-L I0 D1
Derecha Izquierda pulsación
3 4
OFFPAGELEFT-L I1 W1 Arriba Abajo
5
OFFPAGELEFT-L I2 D0
6 7
OFFPAGELEFT-L I3 W0
15
OFFPAGELEFT-L EN
74LS158
ILUMINACIÓN DEL DISPLAY
Tema 2, Enunciados 16
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 12
Considerar dos palabras de 4 bits a = a3 a2 a1 a0 y b= b3 b2 b1 b0.
1) Con un comparador y las puertas necesarias, diseñar sobre la figura 1 un circuito que
produzca una salida G=1 cuando se cumplan al mismo tiempo las siguientes condiciones:
a1 = b2 ; a 2 = b3 ; a 3 = b0 ⊕ b2 .
A0
A1
A2
A3
B0 COMP. O A>B
B1 O A<B
B2
B3 O A=B
IA>B
IA<B
IA=B
Figura 1
2) Implementar la función G anterior con puertas XOR y puertas NAND.
Comparador
Tema 2, Enunciados 17
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 13
Se desea realizar un circuito digital combinacional que visualice un número. Dicho circuito, en el
que faltan conexiones y componentes, se muestra en la figura 1.
Figura 1. Circuito digital combinacional.
El circuito dispone de un TECLADO que proporciona un nivel bajo (0 lógico) cuando se pulsa una
tecla. El circuito debe visualizar en el display la tecla pulsada si ésta es mayor que 4, y ‐1 cuando
no lo es o bien no se pulsa ninguna tecla.
Se pide:
1) Justifique el display elegido y realice todas las conexiones necesarias en el circuito de la
figura 1 para que funcione según se explica en el enunciado y sabiendo que se dispone de un
buffer (seguidor o inversor) ideal para el circuito que controla el bit de signo. Justifique la
respuesta.
Tema 2, Enunciados 18
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 14
Se desea implementar la operación R=(X‐2⋅Y) con dos operandos enteros X e Y de 7 y 6 bits
respectivamente (X6, …, X0), (Y5, …, Y0), expresados en C2. El resultado de la operación se obtiene
en R de 8 bits (R7, …, R0) también en C2. Para realizar esta operación se dispone del circuito de la
Figura 2.1, que consta de dos ALUs 74LS382, cuya tabla de funcionamiento se muestra en las
características anexas.
Figura 2.1
1) Realice las conexiones necesarias en el circuito de la Figura 2.1 para que funcione de la
manera descrita, sin emplear elementos adicionales, y evitando desbordamiento. Etiquete
claramente los pines asociados con los dos operandos (X6, …, X0), (Y5, …, Y0) y con el
resultado (R7, …, R0).
2) Indique razonadamente el margen de valores posibles para R, y justifique que no se produce
desbordamiento.
Tema 2, Enunciados 19
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 15
El circuito de la Figura 2.1 recibe dos datos de 4 bits. El dato X viene codificado en complemento
a 2, y el Y en BCD exceso 3, cuyo código se muestra en la tabla adjunta. En la salida del circuito, F,
se pretende obtener el resultado de la operación en binario natural F=|X| mas Y. Responda
justificadamente a los siguientes apartados:
BCD Exceso 3
SUMADOR 1
BCD
Dec.
X0
A1 S1
X1
A2
A3
S2
S3
ex. 3
A4 S4
0 0011
B1
X2
B2
B3
1 0100
F0
X3
B4 A0
A1
F0
F1
F1
F2
2 0101
3 0110
C0 C4 A2 F2 F3
A3 F3
7483
B0
B1 4 0111
5 1000
B2
B3
Y0 OV
CN
CN+4 6 1001
SUMADOR 2
Y1
S0
7 1010
A1 S1
Y2 A2
A3
S2
S3
S1
S2 8 1011
Y3
A4 S4
74382 9 1100
B1
B2
B3
B4
C0 C4
7483
Tema 2, Enunciados 20
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 16
El circuito de la figura 1.1 representa en un diplay de siete segmentos el resultado de la suma (o
resta) de dos números A y B de tres bits codificados en C2. En el caso de que el resultado sea
negativo, únicamente se encenderá el led del punto.
VCC
R DISPLAY
A0 10 9 S1 7 13 4
a b c d e f g
A1 8 A1 S1 6 S2 1 1 A 12 5
BS_A 3 A2 S2 2 S3 2 2 B 11 9
BS_A 1 A3 S3 15 BS 6 4 C 10 7
A4 S4 4 8 D 9 6
N_B0 11 5 BI/RBO E 15 2
1 7 B1 3 RBI F 14 1
3 4 B2 LT G
B0 2 16 B3
SN74LS47
10
B4
13 14
C0 C4
74LS83
4
6 N_B1
B1 5
9
8 N_BS_B
BS_B 10
S/R
Figura 1.1. Sistema combinacional.
Se pide:
1) Utilizando únicamente puertas NAND de dos entradas y resistencias (no es preciso que
calcule el valor de las mismas) complete las conexiones sobre el circuito de la figura 1.1 de
forma que el sistema opere de acuerdo a la descripción del enunciado.
2) Suponiendo que el circuito opera adecuadamente, rellene la siguiente tabla donde se indican
los valores de los números que se introducen en A y B (primero el bit de mayor peso o bit de
signo) así como el estado del bit S/R:
100 101 0
100 001 1
Tema 2, Enunciados 21
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 17
Ciertos dispositivos electrónicos proporcionan información de salida utilizando un código
binario desplazado. En la siguiente tabla se muestra la codificación de números de 4 bits tanto
en binario desplazado como en complemento a dos.
Se pide:
1) Considerando la información mostrada en dicha tabla, diseñe el circuito que realice la
operación S = ‐N, donde N y S son dos números de 4 bits en binario desplazado. Para ello se
ha de emplear un sumador 74LS83 y el número de inversores que considere necesario.
Nota: suponga que N ∈ [‐7 7]
N0 S0
N1 S1
N2 S2
N3 S3
Tema 2, Enunciados 22
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 18
Con el circuito que aparece en la Figura 4.1, se desea realizar sobre dos números de 8 bits
codificados en C2 (A7....A0 y B7.....B0) las operaciones indicadas en la Tabla 4.1. El resultado de
la operación realizada se muestra en los bits R7....R0.
Tabla 4.1.
X Y Operación en C2
L L A and B
L H A más B
H L A menos B
H H A or‐exclusiva B
C
SS0
X
Circuito 1 SS1
Y SS2
B3..B0 A3..A0
B7..B4 A7..A4
15
16
18
17
19
15
16
18
17
19
7
6
5
2
4
1
3
7
6
5
2
4
1
3
S2
S1
S0
B3
B2
B1
B0
A3
A2
A1
A0
S2
S1
S0
B3
B2
B1
B0
A3
A2
A1
A0
CN
CN
74LS382 74LS382
CN+4
CN+4
OV
OV
F3
F2
F1
F0
F3
F2
F1
F0
12
11
12
11
9
8
9
8
CARRY OVF
R7..R4 R3..R0
Figura 4.1. Circuito realizado con ALU’s.
1) Diseñe a continuación el bloque nombrado como “Circuito 1” de la Figura 4.1, utilizando
puertas de cualquier tipo (máximo 4 puertas), sabiendo que la tabla de verdad del circuito
74LS382 se resume en la Tabla 4.2. Indique, asimismo, sobre las salidas SS2, SS1, SS0 y C su
relación lógica con las variables de entrada.
SS2 =
Tabla 4.2. Tabla de verdad de la ALU 74LS382.
2) Complete la siguiente tabla:
X Y A7......A0 B7......B0 R7......R0 CARRY OVF
(en hexadecimal) (en hexadecimal) (en hexadecimal)
L L 4F C3
L H 4F C3
H L 4F C3
H H 4F C3
Tema 2, Enunciados 23
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 19
Se desea diseñar un circuito que realice la operación R=4×(X‐Y) con una ALU de 8 bits cuyo
funcionamiento corresponde con el mostrado en la Tabla 3.1. Los operandos X e Y son números
de 4 bits codificados en complemento a 2.
Tabla 3.1. Funcionamiento de la ALU de 8 bits.
Selección Operación
S0 S1 S2
L L L Clear
L L H B más A
L H L B más A
L H H A más B
H L L A ⊕ B
H L H A + B
H H L A • B
H H H Preset
Se pide:
1) Complete, sobre la Figura 3.1, las conexiones necesarias para que el circuito resultante
realice la operación indicada.
X0 A0 F0 R0
X1 A1 F1 R1
X2 A2 F2 R2
X3 A3 F3 R3
A4 F4 R4
A5 F5 R5
A6 F6 R6
A7 F7 R7
Y0 B0
Y1 B1
Y2 B2
Y3 B3 Cn+8
B4 Ovr
B5
B6
B7
Cn
S0
S1
S2
Figura 3.1. ALU de 8 bits.
2) ¿Se puede producir desbordamiento? Justifique la respuesta.
Tema 2, Enunciados 24
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 20
Sobre el circuito de la Figura 3.2, realice y justifique las conexiones, añadiendo puertas lógicas y
resistencias que faltan (sin calcular sus valores), para que dado un código de entrada de 4 bits en
complemento a dos (D3 D2 D1 D0) se visualice en el display su valor decimal y su signo. Compruebe
el funcionamiento para los valores de entrada +6 y -1 en complemento a dos, obteniendo los códigos
en binario que aparecen en las entradas y a la salida del sumador.
SUMADOR
Código de entrada en A0
complemento a dos A1 S0 A a a
D0 A2 S1 B b b
D1 A3 C c c
S2
D2 D d d
B0 S3
D3 B1 e e
(signo) BI/RBO
B2 74LS83 RBI f f
B3 LT g g
C0 C4
74LS47 Bit Común
signo
-1
6
Tema 2, Enunciados 25
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 21
Se desea implementar la operación: (X‐2Y) XOR (C) con dos operandos X, Y de 6 bits
(x5….x0;y5….y0), expresados en C2, y una variable C de 8 bits (C7….C0). El resultado intermedio
D= X‐2Y se obtiene en D, en 8 bits (D7..D0). El resultado final R=(X‐2Y) XOR (C), se tiene en R, en
8 bits (R7..R0). Para realizar esta operación se dispone del circuito de la figura 1, que consta de
dos sumadores 74ls83, y dos ALU’s.
13
16
11
10
13
16
11
10
4
8
C0
B4
B3
B2
B1
A4
A3
A2
A1
C0
B4
B3
B2
B1
A4
A3
A2
A1
74LS83 74LS83
C4
C4
S4
S3
S2
S1
S4
S3
S2
S1
14
15
14
15
2
9
COUT1
15
16
18
17
19
15
16
18
17
19
7
6
5
2
4
1
3
7
6
5
2
4
1
3
S2
S1
S0
B3
B2
B1
B0
A3
A2
A1
A0
S2
S1
S0
B3
B2
B1
B0
A3
A2
A1
A0
CN
CN
ALU ALU
CN+4
CN+4
OVR
OVR
F3
F2
F1
F0
F3
F2
F1
F0
Tabla de funcionamiento de la
14
13
12
11
14
13
12
11
ALU
9
8
9
8
Figura 1
1) Realice las conexiones necesarias en el circuito de la figura 1 para que funcione de la manera
descrita, empleando, únicamente, inversores. Indique claramente en qué terminales se
obtienen D y R.
2) Indique razonadamente:
(a) El margen de valores posibles para D.
(b) Si puede existir o no desbordamiento en D.
3) Complete la siguiente tabla:
X5…X0 Y5…Y0 C (hex.) D7…D0 R7…R0 COUT1
001010 001011 B1
110010 001011 B1
Tema 2, Enunciados 26
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 22
Se desea implementar un sistema que realice la operación H=K·X+|Y| donde K es una variable
que puede valer 1 ó 2, y X e Y son variables que están expresadas en C2, siendo X un número de
3 bits e Y un número de 4 bits, a partir del esquema de bloques mostrado en la figura 3.1.
X2 X1 X0 Y3 Y2 Y1 Y0
4I1 3I1 2I1I 2I
1I1 4I0 3I0 2I0 1I0
S
M E
74HC157
4Y 3Y 2Y 1Y
B3 B2 B1 B0 A3 A2 A1 A0
74HC83 C0
C4 S3 S2 S1 S0
74HC157 Function table
C4 S3 S2 S1 S0 H4
A3 H3
B3
Detector/Corrector H2
OVR H1
H0
Figura 3.1. Esquema de bloques del sistema de cálculo de K·X+|Y|
1) Complete las conexiones necesarias sobre la figura 3.1 para obtener en S[3:0]=K·X+|Y|,
sabiendo que en B[3:0] se debe obtener el valor (K·X) (en el esquema, M es una señal digital
correspondiente a un nivel alto para K=2 y un nivel bajo para K=1). Para ello puede usar
exclusivamente un multiplexor cuádruple de dos entradas 74HC157 (cuya tabla de verdad
se incluye), un sumador completo de 4 bits 74HC83, y las puertas XOR que necesite.
2) Justifique razonadamente si puede producirse desbordamiento en la operación realizada
por el sumador 74HC83, y el rango de valores de la operación H=K·X+|Y|.
F=
Tema 2, Enunciados 27
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 23
Se desea implementar un sistema que realice la operación H=máx(Y,Z)‐X donde Y y Z son dos
números binarios naturales de 3 bits, mayores o iguales que cero, X es un número binario de 3
bits expresado en C2 y el resultado H es un número binario de 5 bits en C2. La función máx()
devuelve el mayor valor de entre sus argumentos.
2) La implementación se hará a partir del esquema de bloques mostrado en la figura 3.1. Complete
las conexiones necesarias sobre dicha figura para obtener H[4:0] = máx(Y,Z)-X. Para ello puede
usar exclusivamente un multiplexor cuádruple de dos entradas 74HC157 (cuya tabla de verdad se
incluye), un comparador 74HC85 (cuya tabla de verdad se incluye), dos sumadores 74HC83 y las
puertas NOT que necesite.
Z2 Z1 Z0 Y2 Y1 Y0 X2 X1 X0
IA>B IA=B IA<B B3 B2 B1 B0 A3 A2 A1 A0 4I1 3I1 2I
2I1I 1I
2I11 4I0 3I0 2I0 1I0
S
74HC85 74HC157
QA>B QA=B QA<B E
4Y 3Y 2Y 1Y
B3 B2 B1 B0 A3 A2 A1 A0 B3 B2 B1 B0 A3 A2 A1 A0
74HC83 C0 74HC83 C0
C4 S3 S2 S1 S0 C4 S3 S2 S1 S0
H4 H3 H2 H1 H0
Figura 3.1. Esquema de bloques del sistema de cálculo de H=máx(Y,Z)‐X
74HC85 Function table
Tema 2, Enunciados 28
Problemas de Electrónica Digital
Electrónica Digital
Universidad de Alcalá
(12/09/2013)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 2 ........................................................................................................................................ 2
Cuestión 1 .......................................................................................................................................................... 2
Cuestión 2 .......................................................................................................................................................... 4
Cuestión 3 .......................................................................................................................................................... 5
Cuestión 4 .......................................................................................................................................................... 6
Cuestión 5 .......................................................................................................................................................... 7
Cuestión 6 .......................................................................................................................................................... 9
Cuestión 7 ....................................................................................................................................................... 10
Cuestión 8 ....................................................................................................................................................... 11
Cuestión 9 ....................................................................................................................................................... 13
Cuestión 10 .................................................................................................................................................... 14
Cuestión 11 .................................................................................................................................................... 16
Cuestión 12 .................................................................................................................................................... 17
Cuestión 13 .................................................................................................................................................... 18
Cuestión 14 .................................................................................................................................................... 20
Cuestión 15 .................................................................................................................................................... 21
Cuestión 16 .................................................................................................................................................... 22
Cuestión 17 .................................................................................................................................................... 23
Cuestión 18 .................................................................................................................................................... 24
Cuestión 19 .................................................................................................................................................... 25
Cuestión 20 .................................................................................................................................................... 26
Cuestión 21 .................................................................................................................................................... 27
Cuestión 22 .................................................................................................................................................... 28
Cuestión 23 .................................................................................................................................................... 29
Nota.‐ Excepcionalmente, en algún apartado de las cuestiones es posible que exista más de una
solución. Verifique siempre que ante todas las combinaciones de las variables de entrada el
circuito funciona adecuadamente (salidas correctas)
Tema 2, Soluciones 1 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
D[11..0]
D4
D8 Disp 1 Info
D5 4
G2B Y0
D6
Y1
D7
A Y2 G
B Y3
C Y4 Disp 2 Info
D8 4
74LS257 Y5
D9
1Y
G1 Y6
D10 SS1
D11 1A
G2A Y7 G
2Y
1B SS2 74LS138
2A Disp 3 4 Info
D9
2B
3Y SS3
3A
4Y
D10 3B SS4 G
D11
4A
4B Disp 4 Info
OE S
4
G
Activación de
área Área 2
Figura 1.1
1) Escriba el código de [D11..D0] para el siguiente caso: se introduce uno de los códigos de
activación válidos para el área 2 (el código 8h) y el usuario 4 escribe la información Ch.
Tema 2, Soluciones 2 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
2) Empleando el menor número posible de puertas lógicas adicionales, complete las conexiones
en el circuito mostrado a continuación para que el sistema de acceso a los dispositivos del
área 2 funcione de la forma descrita en el enunciado y en la Figura 1.1. Cada dispositivo se
habilita mediante una señal G .
3) Para habilitar el área 2 debe activarse correctamente la señal SS2, implementada en el
bloque de activación de área de la forma: SS 2 = D11 ⋅ D10 ⋅ D9 + D11 ⋅ D9 + D11 ⋅ D10 ⋅ D9 .
Complete las conexiones del circuito mostrado a continuación para que active correctamente
el área 2 del esquema de la Figura 1.1, sin emplear ningún elemento adicional. Indique qué
códigos de activación son válidos.
Una posible solución:
Tema 2, Soluciones 3 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 2
1) A partir de decodificadores 2 a 4, cuya tabla de funcionamiento se adjunta, obtenga un
decodificador 4 a 16 con una entrada de habilitación G activa a nivel bajo, entradas de
selección (D, C, B, A) activas a nivel alto, y salidas Y[15 : 0] activas a nivel bajo. Si es
necesario utilice inversores y/o otro decodificador 2 a 4.
2) Con el decodificador obtenido en el apartado anterior, diseñe un sistema combinacional de
16 entradas (A[15:0]) y 5 salidas ( CS[4 : 0] ), de manera que cada salida se active a nivel bajo
para el margen de combinaciones binarias de entrada indicado en la tabla siguiente:
Nota: Si es necesario puede emplear puertas NAND de cualquier número de entradas.
A[15:0] (hex)
Tema 2, Soluciones 4 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 3
1) Basándose en el dispositivo doble multiplexor de 4 canales, cuya tabla de funcionamiento se
adjunta, se pide:
2) Realice la función S=f(d,c,b,a)= (d ⋅ c ⋅ b ⋅ a ) + (c ⋅ b ⋅ a ) + (d ⋅ b ⋅ a ) mediante un multiplexor y
tres puertas NAND de dos entradas.
3) Realice con el mínimo número de multiplexores anteriores un multiplexor de dos datos (A y
B) de 4 bits con patilla de inhibición (I) activa a nivel alto. Especifique la asignación de los
bits de entradas y salida así como sus pesos.
Una posible solución:
Tema 2, Soluciones 5 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 4
Diseñe un decodificador 4:16 con entradas, salidas y entrada de habilitación (E) activas a nivel
alto a partir de dos circuitos decodificadores 3:8, un comparador de 4 bits y las puertas básicas
que considere necesarias (justifique la respuesta). Indique claramente la localización de las
entradas y las salidas del sistema total y las conexiones entre los circuitos.
Una posible solución:
C
B
A
C
B
A
Nota.‐ Existen soluciones sin hacer uso del comparador; por ejemplo, usando E3 para habilitar
un decodificador u otro (llevando E3 a G2A y G2B del decodificador de arriba y E3 negada a G2A
y G2B del de abajo). La señal de habilitación genérica E iría a las líneas G1 de ambos
decodificadores.
Decodificador 3:8
Comparador
Tema 2, Soluciones 6 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 5
Dado el circuito de la siguiente figura, compuesto por un decodificador y un multiplexor, en el
que las variables de entrada son “a” (LSB) y “d” (MSB), determínese:
1
15 Y0 2
A Y1 3
14 Y2 4 1
B Y3 5 2
7 13 Y4 6 6
E C Y5 7 4
4 12 Y6 9 5
Salida
1 2 3 I0 5 D Y7 10 7440
2 I1 Z Y8 11
7404 I2 Y9
1
15 I3 7442A
14 I4 6
13 I5 Z
12 I6
I7
a 11
10 S0
b 9 S1
c S2
d 74151A
1) Obtener la función canónica de salida.
2) Simplificar por Karnaugh dicha función.
Tema 2, Soluciones 7 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
3) Implemente la función utilizando puertas NOR de dos entradas.
Tema 2, Soluciones 8 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 6
A partir del circuito combinacional diseñado en la Figura 2.1.
Figura 2.1
1) (a) Obtenga la expresión algebraica de x como suma de minterms.
(b) Obtenga la expresión simplificada al máximo de x
2) Complete la siguiente tabla de verdad.
Tema 2, Soluciones 9 Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 7
1) Complete el circuito de la figura 2.1 para que funcione como un conversor Gray (G2 G1 G0) a
binario natural (B2 B1 B0) de 3 bits, empleando los decodificadores 2:4 y el codificador con
prioridad genérico 10 a 4, así como los inversores que considere necesarios.
Nota: Para indicar la conexión entre las salidas de los decodificadores y las entradas del
codificador, escriba en cada cuadro el número de entrada a la que iría conectada.
Una posible solución:
2) A partir de un codificador con prioridad 8:3 (74ls148) y las puertas lógicas necesarias,
complete el circuito siguiente para que funcione como el codificador con prioridad 10 a 4 con
entradas y salidas activas a nivel bajo del apartado anterior.
Circuito codificador con prioridad 8:3
Circuito decodificador 2 a 4
Tema 2, Soluciones 10
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 8
A partir del circuito de la Figura 2.1, se pide:
Figura 2.1
1) Sabiendo que F = C DA + C DAB + CDB + CDB . Complete, con una única puerta, la zona
punteada.
Tema 2, Soluciones 11
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
2) Obtenga la función simplificada de F.
3) Complete la siguiente tabla.
MUX 7485
S1 S0 Q
0 0 I0
0 1 I1
1 0 I2
1 1 I3
Tema 2, Soluciones 12
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 9
El circuito de la Figura 2.1 corresponde con un sistema de selección de tres dispositivos
(Devicei). Las líneas de entrada A[5:0] se utilizan para seleccionar cada dispositivo activando su
entrada CSi a nivel bajo.
Figura 2.1. Circuito de selección.
Se pide:
1) Complete la siguiente tabla, indicando qué dispositivo se activa en función de la combinación
de las líneas de entrada A[5:0].
2) Indique razonadamente con cuántas combinaciones binarias diferentes de las líneas de
entrada se puede seleccionar cada dispositivo.
DEMUX 7485
Cuestión 10
En la figura 2.1 se muestran los elementos del sistema de apertura de la puerta de un garaje. El
mando a distancia dispone de dos interruptores, sw1 y sw2, cada uno de los cuales puede
configurarse manualmente en tres posiciones, de manera que se transmite el código C[3..0]
configurado. Con sw1 se codifican C3 C2, y con sw2 C1C0, de la forma indicada en la tabla para
cada una de las tres posiciones de ambos interruptores. La puerta se abre al activar con un nivel
bajo la señal AP del motor de apertura de puerta, MAP. Esto debe producirse cuando el código
transmitido coincide con el código seleccionado en P[3..0], o bien cuando la célula fotoeléctrica
CF se activa enviando un nivel bajo en F.
Figura 2.1
1) Al configurar cada interruptor en una posición se genera un nivel alto en su terminal
correspondiente (swi_c, swi_b ó swi_a) y el código C[3..0] se activa al pulsar P, que genera un
nivel bajo en Act. Cuando cesa la pulsación el código es 1111.
(a) Dada la configuración del sistema en la figura 2.1: indique en qué posiciones se deben
configurar los interruptores para que funcione el sistema de apertura. Añada una breve
explicación.
(b) Sin emplear ningún componente adicional, complete las conexiones en el siguiente
circuito para obtener correctamente el código C[3..0]. Indique claramente en qué puntos se
obtiene dicho código.
Tema 2, Soluciones 14
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
2) Realice las conexiones oportunas en el circuito mostrado a continuación para que el MAP se
active correctamente de la manera explicada en el enunciado. Emplee el mínimo nº de
puertas NOR.
74ls85
Tema 2, Soluciones 15
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 11
Se dispone de un sistema de movimiento del faro de un automóvil. Mediante un pulsador se
envía un comando, de cuatro posibles: arriba (U), abajo (D), derecha (R) e izquierda (L), que son
codificados en 4 bits, D3 D2 D1 D0, de la siguiente forma:
Arriba: 1101 Abajo: 1110 Derecha: 0111 Izquierda: 1011 Ninguno activo: 1111
1) En la Figura 2.1, el circuito para codificar el comando de movimiento se realiza con dos
codificadores de 4 a 2 con entrada de habilitación activa a nivel alto y salidas activas a nivel
bajo. Al actuar sobre cada una de las cuatro posiciones del pulsador, se genera un nivel alto
en su terminal correspondiente (U, D, R ó L) permaneciendo el resto a nivel bajo, no
pudiéndose producir la pulsación simultánea en dos posiciones diferentes del mismo.
Conecte los terminales del pulsador para que se codifique correctamente el comando de
movimiento en D3..D0. Para ello emplee únicamente dos puertas lógicas, de dos entradas,
adicionales.
Una posible solución:
2) Realice las conexiones en la de debajo para que se ilumine el display de la forma indicada con
el código D3...D0, añadiendo únicamente las resistencias necesarias. Además calcule
razonadamente el valor de las resistencias empleadas para que se ilumine el segmento con la
máxima intensidad posible. DATOS: Codificadores: VOLmax=0.3V, IOLmax=15mA. Display:
ánodo común (AC), IFmin=8mA, IFmax=20mA, VF=1V. Vcc=5V.
NOTA: Suponga que las entradas de los codificadores están correctamente conectadas al resto
del circuito de codificación.
Tema 2, Soluciones 16
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 12
Considerar dos palabras de 4 bits a = a3 a2 a1 a0 y b= b3 b2 b1 b0.
1) Con un comparador y las puertas necesarias, diseñar sobre la figura 1 un circuito que
produzca una salida G=1 cuando se cumplan al mismo tiempo las siguientes condiciones:
a1 = b2 ; a 2 = b3 ; a3 = b0 ⊕ b2 .
Una posible solución:
Figura 1
2) Implementar la función G anterior con puertas XOR y puertas NAND.
Comparador
Tema 2, Soluciones 17
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 13
Se desea realizar un circuito digital combinacional que visualice un número. Dicho circuito, en el
que faltan conexiones y componentes, se muestra en la figura 1.
Figura 1. Circuito digital combinacional.
El circuito dispone de un TECLADO que proporciona un nivel bajo (0 lógico) cuando se pulsa una
tecla. El circuito debe visualizar en el display la tecla pulsada si ésta es mayor que 4, y ‐1 cuando
no lo es o bien no se pulsa ninguna tecla.
Se pide:
1) Justifique el display elegido y realice todas las conexiones necesarias en el circuito de la
figura 1 para que funcione según se explica en el enunciado y sabiendo que se dispone de un
buffer (seguidor o inversor) ideal para el circuito que controla el bit de signo. Justifique la
respuesta.
Tema 2, Soluciones 18
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Una posible solución:
Tema 2, Soluciones 19
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 14
Se desea implementar la operación R=(X‐2⋅Y) con dos operandos enteros X e Y de 7 y 6 bits
respectivamente (X6, …, X0), (Y5, …, Y0), expresados en C2. El resultado de la operación se obtiene
en R de 8 bits (R7, …, R0) también en C2. Para realizar esta operación se dispone del circuito de la
Figura 2.1, que consta de dos ALUs 74LS382, cuya tabla de funcionamiento se muestra en las
características anexas.
Una posible solución:
Figura 2.1
1) Realice las conexiones necesarias en el circuito de la Figura 2.1 para que funcione de la
manera descrita, sin emplear elementos adicionales, y evitando desbordamiento. Etiquete
claramente los pines asociados con los dos operandos (X6, …, X0), (Y5, …, Y0) y con el
resultado (R7, …, R0).
2) Indique razonadamente el margen de valores posibles para R, y justifique que no se produce
desbordamiento.
Tema 2, Soluciones 20
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 15
El circuito de la Figura 2.1 recibe dos datos de 4 bits. El dato X viene codificado en complemento
a 2, y el Y en BCD exceso 3, cuyo código se muestra en la tabla adjunta. En la salida del circuito, F,
se pretende obtener el resultado de la operación en binario natural F=|X| mas Y. Responda
justificadamente a los siguientes apartados:
Figura 2.1. Circuito aritmético.
1) Realice las conexiones de los datos de entrada con los sumadores de manera que en la salida
del SUMADOR 1 de obtenga el módulo del dato X, y en la salida del SUMADOR 2 el dato Y en
binario natural. Utilice el mínimo número de puertas lógicas necesarias.
Una posible solución se muestra sobre la figura.
2) Realice las conexiones de la ALU para que en su salida se obtenga el resultado pretendido.
3) En caso de que se produzca desbordamiento en la ALU, indique una posible solución
ampliando el número de bits.
Tema 2, Soluciones 21
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 16
El circuito de la figura 1.1 representa en un diplay de siete segmentos el resultado de la suma (o
resta) de dos números A y B de tres bits codificados en C2. En el caso de que el resultado sea
negativo, únicamente se encenderá el led del punto.
Se pide:
1) Utilizando únicamente puertas NAND de dos entradas y resistencias (no es preciso que
calcule el valor de las mismas) complete las conexiones sobre el circuito de la figura 1.1 de
forma que el sistema opere de acuerdo a la descripción del enunciado.
2) Suponiendo que el circuito opera adecuadamente, rellene la siguiente tabla donde se indican
los valores de los números que se introducen en A y B (primero el bit de mayor peso o bit de
signo) así como el estado del bit S/R:
Tema 2, Soluciones 22
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 17
Ciertos dispositivos electrónicos proporcionan información de salida utilizando un código
binario desplazado. En la siguiente tabla se muestra la codificación de números de 4 bits tanto
en binario desplazado como en complemento a dos.
Se pide:
1) Considerando la información mostrada en dicha tabla, diseñe el circuito que realice la
operación S = ‐N, donde N y S son dos números de 4 bits en binario desplazado. Para ello se
ha de emplear un sumador 74LS83 y el número de inversores que considere necesario.
Nota: suponga que N ∈ [‐7 7]
Tema 2, Soluciones 23
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 18
Con el circuito que aparece en la Figura 4.1, se desea realizar sobre dos números de 8 bits
codificados en C2 (A7....A0 y B7.....B0) las operaciones indicadas en la Tabla 4.1. El resultado de
la operación realizada se muestra en los bits R7....R0.
Tabla 4.1.
X Y Operación en C2
L L A and B
L H A más B
H L A menos B
H H A or‐exclusiva B
C
SS0
X
Circuito 1 SS1
Y SS2
B3..B0 A3..A0
B7..B4 A7..A4
15
16
18
17
19
15
16
18
17
19
7
6
5
2
4
1
3
7
6
5
2
4
1
3
S2
S1
S0
B3
B2
B1
B0
A3
A2
A1
A0
S2
S1
S0
B3
B2
B1
B0
A3
A2
A1
A0
CN
CN
74LS382 74LS382
CN+4
CN+4
OV
OV
F3
F2
F1
F0
F3
F2
F1
F0
12
11
12
11
9
8
9
8
CARRY OVF
R7..R4 R3..R0
Figura 4.1. Circuito realizado con ALU’s.
1) Diseñe a continuación el bloque nombrado como “Circuito 1” de la Figura 4.1, utilizando
puertas de cualquier tipo (máximo 4 puertas), sabiendo que la tabla de verdad del circuito
74LS382 se resume en la Tabla 4.2. Indique, asimismo, sobre las salidas SS2, SS1, SS0 y C su
relación lógica con las variables de entrada.
2) Complete la siguiente tabla:
Tema 2, Soluciones 24
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 19
Se desea diseñar un circuito que realice la operación R=4×(X‐Y) con una ALU de 8 bits cuyo
funcionamiento corresponde con el mostrado en la Tabla 3.1. Los operandos X e Y son números
de 4 bits codificados en complemento a 2.
1) Complete, sobre la Figura 3.1, las conexiones necesarias para que el circuito resultante
realice la operación indicada.
2) ¿Se puede producir desbordamiento? Justifique la respuesta.
Por lo tanto, no se produce desbordamiento.
Tema 2, Soluciones 25
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 20
Sobre el circuito de la Figura 3.2, realice y justifique las conexiones, añadiendo puertas lógicas y
resistencias que faltan (sin calcular sus valores), para que dado un código de entrada de 4 bits en
complemento a dos (D3 D2 D1 D0) se visualice en el display su valor decimal y su signo. Compruebe
el funcionamiento para los valores de entrada +6 y -1 en complemento a dos, obteniendo los códigos
en binario que aparecen en las entradas y a la salida del sumador.
Una posible solución:
Tema 2, Soluciones 26
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 21
Se desea implementar la operación: (X‐2Y) XOR (C) con dos operandos X, Y de 6 bits
(x5….x0;y5….y0), expresados en C2, y una variable C de 8 bits (C7….C0). El resultado intermedio
D= X‐2Y se obtiene en D, en 8 bits (D7..D0). El resultado final R=(X‐2Y) XOR (C), se tiene en R, en
8 bits (R7..R0). Para realizar esta operación se dispone del circuito de la figura 1, que consta de
dos sumadores 74ls83, y dos ALU’s.
Una posible solución:
1) Realice las conexiones necesarias en el circuito de la figura 1 para que funcione de la manera
descrita, empleando, únicamente, inversores. Indique claramente en qué terminales se
obtienen D y R.
2) Indique razonadamente:
(a) El margen de valores posibles para D.
(b) Si puede existir o no desbordamiento en D.
3) Complete la siguiente tabla:
Tema 2, Soluciones 27
Problemas de Electrónica Digital
Universidad de Alcalá Departamento de Electrónica
Cuestión 22
Se desea implementar un sistema que realice la operación H=K·X+|Y| donde K es una variable
que puede valer 1 ó 2, y X e Y son variables que están expresadas en C2, siendo X un número de
3 bits e Y un número de 4 bits, a partir del esquema de bloques mostrado en la figura 3.1.
2I1 1I1
1) Complete las conexiones necesarias sobre la figura 3.1 para obtener en S[3:0]=K·X+|Y|,
sabiendo que en B[3:0] se debe obtener el valor (K·X) (en el esquema, M es una señal digital
correspondiente a un nivel alto para K=2 y un nivel bajo para K=1). Para ello puede usar
exclusivamente un multiplexor cuádruple de dos entradas 74HC157 (cuya tabla de verdad
se incluye), un sumador completo de 4 bits 74HC83, y las puertas XOR que necesite.
2) Justifique razonadamente si puede producirse desbordamiento en la operación realizada
por el sumador 74HC83, y el rango de valores de la operación H=K·X+|Y|.
3) El bloque Detector/Corrector OVR, está compuesto por un módulo de detección de
desbordamiento y otro de corrección. Calcule la tabla de verdad de la señal de salida del módulo
de detección de desbordamiento F, que valdrá 1 si hay desbordamiento en la operación realizada
en el sumador, utilizando la tabla que aparece a continuación (indicando en ella la variable de
entrada que falta en la tercera columna). Calcule igualmente su expresión lógica simplificada,
teniendo en cuenta que el módulo de un número siempre es positivo.
Tema 2, Soluciones 28
Problemas de Electrónica Digital
Universid
dad de Alcaláá Departaamento de Ellectrónica
Cuesttión 23
Se deseaa implemenntar un sisteema que reaalice la operración H=m
máx(Y,Z)‐X d donde Y y Z son dos
números binarios n naturales dee 3 bits, may yores o iguaales que cero, X es un n número binaario de 3
presado en C2
bits exp C y el resuultado H es un número binario de 5 bits en C2. La funció
ón máx()
devuelv ve el mayor vvalor de enttre sus argum mentos.
2) La implementacción se hará a partir del esquema de bloques mostrado en la figura 3.1. Complete
C
las conexiones necesarias
n soobre dicha fiigura para ob
btener H[4:00] = máx(Y,Z
Z)-X. Para elllo puede
usarr exclusivam
mente un mulltiplexor cuádruple de do os entradas 74HC157 (cuuya tabla de verdad
v se
inclluye), un com
mparador 74H HC85 (cuya tabla de verrdad se incluyye), dos sum
madores 74HC C83 y las
pueertas NOT quue necesite.
Una
a posible solucción:
2I
2 1 1I1
74HC85 Function table
t
74HC15
57 Function table
Tema 2, Soluciones 29 Problemass de Electróniica Digital
%LHVWDEOHV
,QWURGXFFLyQ
&LUFXLWROyJLFRTXH
(OHFWUyQLFD'LJLWDO 3XHGHPDQWHQHULQGHILQLGDPHQWHXQHVWDGROyJLFRVLHPSUH
\FXDQGRHOGLVSRVLWLYRHVWpDOLPHQWDGR
3HUPLWHPRGLILFDUVXHVWDGRH[WHUQDPHQWH
&ODVLILFDFLyQVHJ~QVLQFURQtD
%LHVWDEOHVDVtQFURQRV/RVFDPELRVHQODVDOLGDVH
SURGXFHQ VLHPSUH TXH KD\ XQ FDPELR HQ OD HQWUDGD
SURGXFHQVLHPSUHTXHKD\XQFDPELRHQODHQWUDGD
7HPD %LHVWDEOHVVtQFURQRV/RVFDPELRVHQODVDOLGDVHSURGXFHQ
,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV FXDQGRVHDFWLYHODVHxDOGHVLQFURQLVPRUHORM→ VXHOHVHU
XQDVHxDOFXDGUDGD
%LHVWDEOHV 3RUQLYHOODWFKUHORM HQDEOH
3RUIODQFRIOLSIORSV
&ODVLILFDFLyQSRUIXQFLRQDOLGDG
56
'
-.
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
ËQGLFH ,QWURGXFFLyQ
,QWURGXFFLyQ 6LPERORJtDJHQHUDO\QRPHQFODWXUD
'
(VWXGLRGHELHVWDEOHV
%LHVWDEOH56DVtQFURQR (
%LHVWDEOH'VtQFURQRDFWLYRSRUQLYHO
%LHVWDEOH'VtQFURQRDFWLYRSRUIODQFR 'VtQFURQR
56DVtQFURQR
DFWLYRSRUQLYHODOWR
%LHVWDEOH -. VtQFURQR DFWLYR SRU IODQFR
%LHVWDEOH-.VtQFURQRDFWLYRSRUIODQFR
(QWUDGDVDVtQFURQDV
3DUiPHWURVWHPSRUDOHV
&RQILJXUDFLRQHVWtSLFDV
'VtQFURQR -.VtQFURQR
(MHPSORVGHFLUFXLWRVFRQELHVWDEOHV DFWLYRSRUIODQFRDVFHQGHQWH DFWLYRSRUIODQFRGHVFHQGHQWH
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
,QWURGXFFLyQ ,QWURGXFFLyQ
7LSRVGHVLVWHPDVGLJLWDOHV 3DUDGHWHUPLQDUHOSUy[LPRQLYHODODVDOLGD4GH
&RPELQDFLRQDOHVDTXHOORVFLUFXLWRVFX\DVVDOLGDVHQXQ XQELHVWDEOHVHUiQHFHVDULR
GHWHUPLQDGRLQVWDQWHVRQIXQFLyQH[FOXVLYDPHQWHGHOYDORU
6DEHUHOYDORUGH72'$6VXVHQWUDGDVTXHVHH[WUDHGHORV
GHODVHQWUDGDVHQHVHLQVWDQWH(QWUDGDVLJXDOHVGDQOXJDU GDWRVGHOFLUFXLWRDSDUWLUGH
DODVPLVPDVVDOLGDV
FURQRJUDPDVRWDEODVTXHGHVFULEHQODHYROXFLyQ
(QWUDGDV &LUFXLWR 6DOLGDV WHPSRUDOGHODVVHxDOHVGHHQWUDGDV
FRPELQDFLRQDO
H[SUHVLRQHVDOJHEUDLFDV- . $⊕%«
6HFXHQFLDOHVDTXHOORVFLUFXLWRVHQORVTXHODVVDOLGDV
GHSHQGHQGHODVHQWUDGDVHQHOLQVWDQWHDFWXDO\HQORV &RQRFHUFXiOHUDHOHVWDGRSUHYLR4GHOELHVWDEOH
DQWHULRUHV7LHQHQPHPRULD(QWUDGDVLJXDOHVSXHGHQ
JHQHUDUVDOLGDVGLVWLQWDV
(QXQELHVWDEOHHQWUDGDVLJXDOHVSRGUiQJHQHUDU
(QWUDGDV 6DOLGDV
&LUFXLWR VDOLGDVGLVWLQWDVGHSHQGLHQGRGHOHVWDGRSUHYLR4
FRPELQDFLRQDO GHOPLVPR
%LHVWDEOHV
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
%LHVWDEOH56DVtQFURQRFRQSXHUWDV125 %LHVWDEOH'DFWLYRSRUIODQFR
6tPEROR\WDEODGHYHUGDG &RPSDUDFLyQGHELHVWDEOHDFWLYRSRUQLYHO\SRUIODQFR
ELHVW
6 5 4W 41W B Q1
D Q
1RFDPELD→ ODVW4W ODVW41W
64
5HVHW→ A E QN
541 6HW→ Q2
D Q
1
1RSHUPLWLGR→
LWLG
QN
CLK
ELHVW
VCC_CIRCLE
R Q $ ( &/.
&yGLJR9+'/
% ' '
Q <= R nor QN ;
QN <= S nor Q; 4
VCC_CIRCLE
QN 4
S
'DWR 4W 4W
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
%LHVWDEOH'DFWLYRSRUQLYHO'/$7&+ %LHVWDEOH-.DFWLYRSRUIODQFR
&yGLJR9+'/
/DVDOLGD4VLJXHDODHQWUDGD'YDOLGDGDFRQ( -.DFWLYRSRUIODQFR process (CLK)
begin
if CLK'event and CLK = '1' then
&yGLJR9+'/ - . &/. 4W 41W if J = '1' and K = '0' then
J Q Q <= '1';
( ' 4W 41W process (D, E)
4W 41W QN <= '0';
D Q begin K
if E = '1' then elsif J = '0' and K = '1' then
QN Q <= '0';
Q <= D; CLK QN <= '1';
E QN
; 4W 41W QN <= not D;
41W 4W elsif J = '1' and K = '1' then
end if;
end process; Con J y K ambas ; ; 4W 41W Q <= QN;
QN <= Q;
activadas: biestable ; ; 4W 41W end if;
conmuta al estado end if;
contrario (Q) del que tenía end process;
'DWR 4W
%LHVWDEOHV %LHVWDEOHV
%LHVWDEOH'DFWLYRSRUIODQFR (QWUDGDVDVtQFURQDV
/DVDOLGD4VLJXHD'HQIODQFRVDFWLYRVGH&/. (QWUDGDVDVtQFURQDV3UHVHW \R&OHDU
6RQ SULRULWDULDV,JQRUDQ &yGLJR9+'/
&yGLJR9+'/
VHxDOHVGHVLQFURQLVPRUHORM
&/. ' 4W 41W process (CLK)
begin (QWUDGDVVtQFURQDVVyOR
D Q if CLK'event and CLK = '1' then IXQFLRQDUiQFXDQGRODV
Q <= D;
; 4W 41W QN <= not D; DVtQFURQDVHVWpQLQDFWLYDV
QN
CLK ; 4W 41W
end if;
end process;
(QWUH ODV DVtQFURQDV WDPELpQ
(QWUHODVDVtQFURQDVWDPELpQ
KDEUiSULRULGDG
%LHVWDEOHV %LHVWDEOHV
3DUiPHWURVWHPSRUDOHV 3DUiPHWURVWHPSRUDOHV
3DUiPHWURVWHPSRUDOHVHMWLSR'DFWLYRSRU 3DUiPHWURVWHPSRUDOHVHMWLSR'DFWLYRSRU
5HWDUGRV GH SURSDJDFLyQ WLHPSR TXH WUDQVFXUUH GHVGH HO IODQFR 5HWDUGRVGHSURSDJDFLyQHQODVDOLGD4R41GHVGH
DFWLYR HQ &/. TXH SURGXFH XQD WUDQVLFLyQ HQ ODV VDOLGDV KDVWD TXH FDPELRHQ&/.
OD WUDQVLFLyQ WLHQH OXJDU 7LHPSRGH³VHWXS´ HQWU'DQWHVGHOIODQFRGH&/.
7LHPSRGH³KROG´ HQWU'GHVSXpVGHOIODQFRGH&/.
CLK
tpHL
D
tpLH
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
3DUiPHWURVWHPSRUDOHV &RQILJXUDFLRQHVWtSLFDVELHVWDEOH 7
3DUiPHWURVWHPSRUDOHVHMWLSR'DFWLYRSRU (OELHVWDEOHWLSR7IXQFLyQWRJJOH
7LHPSRGH³VHWXS´PtQLPRWLHPSRDQWHULRUDOIODQFRDFWLYRGH 7 &/. 4W 41W -4
&/.GXUDQWHHOTXHORVQLYHOHVOyJLFRVGHODVVHxDOHVGHHQWUDGDQR 74 4W 41W
GHEHQFDPELDU 41W 4W &/.
&/. 41 ; 4W 41W
CLK .41
; 4W 41W 7 %LHVW7DSDUWLUGH-.
tSU tSU
D &yGLJR9+'/
&/. process (CLK)
W
begin
7 if CLK'event and CLK = '1'
Q W
then
4 if T = '1' then
£££2-26LODHQWUDGDVGHXQELHVWDEOHFDPELDUDQMXVWRDOOOHJDUXQ W
Q <= QN;
IODQFRSDUDREWHQHUVXSUy[LPDVDOLGD4KDEUtDTXHPLUDUHOYDORUGH QN <= Q;
ODVHQWUDGDVLQPHGLDWDPHQWHDQWHVGHOIODQFRQXQFDGHVSXpVĺW68 end if;
'DWR 4W
Señalamos los flancos end if;
&/.
$OOOHJDUHOIODQFRDFWLYR activos si no lo estuvieran end process;
' DVXPLPRVTXH'
1RWD (QWRGRVORVHMHPSORVODVVHxDOHVFRQIRUPDVGHRQGDSLQWDGDVHQQHJURVRQGDWRV6HSLGHVDOLGDVELHVWDEOHV
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
&RQILJXUDFLRQHVWtSLFDV (MHPSORVGHFLUFXLWRVFRQELHVWDEOHV
4$ 4%
¡¡¡OJO!!! Conviene hallar las expresiones y,
- 4 generalmente, representar (aunque no lo &/. % = 4 $ Â& + 4 $ Â& &/. % = 4 $ ⊕ &
pidan) todas las entradas del biestable/s - 4 - 4
&/. que varíen (o no sean obvias) para &/. &/. - $ = .$ = -% = .% =
establecer mejor así cuál será su salida/s . 41 . 41
. 41 ELHVW$ ELHVW%
Conviene dibujar esta señal
- =' .=' (si no apareciese en el
cronograma la pondríamos)
Ya p
pintada &/.
W
&/. &
W 'DWRV W
Se comporta como biestable D 4$W
4%W 4$
W &/. ' 4W 41W W
&/.%
K =D W
W
; 4W 41W 4%
4 W
W ; 4W 41W
'DWR 4W &RQWDGRUDVtQFURQRDVFHQGHQWHGHVFHQGHQWHGHELWVPyGXOR
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
&RQILJXUDFLRQHVWtSLFDV (MHPSORVGHFLUFXLWRVFRQELHVWDEOHV
4$ 4% JA = K A =
'4 &/. ' 4W 41W JB = K B = QA ÂUD + QA ÂUD
- 4 - 4
&/. &/. &/.
¢IPi[ GH&/."
. 41 . 41 GHVGHTXHVHSURGXFHIODQFRDFWLYRHQ
41 ; 4W 41W &/.KDVWDTXHWRGDVODVVHxDOHVHVWiQ
ELHVW$ ELHVW%
; 4W 41W HVWDEOHVWUDVORVSRVLEOHVFDPELRV
GHELGRVDOIODQFR\VHSXHGHLQWURGXFLU
'DWRV 4$W 4%W
RWURIODQFRDFWLYR"
&/.
' = 41 W
I Pi[ =
8'
W
W S4 + W S$1' + W S25 + W VHWXS
&/.
W 4$
El biestable en cada flanco activo W
4 1RWD (OWKROG QRVHWLHQHHQFXHQWDSRU
pasará a tener en Q lo contrario de lo -% .%
W W VHUQRUPDOPHQWHPHQRUTXHHOWLHPSRGH
que tenía en el estado anterior (como SURSDJDFLyQGHOELHVWDEOHWS4 KDVWDXQ
biestable T con entrada T=1). WLHPSRWS4 GHVSXpVGHOIODQFRODVDOLGDGHO
4%
W ELHVWDEOHPDQWLHQHVXYDORU
'DWR 4W
Podríamos pintar D pero no es
necesario pues ya predecimos Q…
&RQWDGRUVtQFURQRDVFHQGHQWHGHVFHQGHQWHGHELWVPyGXOR
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
%LHVWDEOHV %LHVWDEOHV
(MHPSORVGHFLUFXLWRVFRQELHVWDEOHV (MHPSORVGHFLUFXLWRVFRQELHVWDEOHV
4$ 4%
4 4
ELHVW JA = K A =
$PSOLDFLyQPLWDGFURQRJUHMDQWHULRUDIPi[
ELHVW
-4
-4 -4
'4 '4 JB = K B = QA ÂUD + QA ÂUD &/. &/.
. 41 . 41
&/. &/.
' = 41 ' = 41 &/. = &/.
41 &/.
41
8'
Útil pintar CLK1 (D0 y D1
4$ WS%
no, porque conocemos
&/. cómo funcionan estos
W biestables cuando D=QN) -% .%
WS$1'WS25
4 WVHWXS
W
&/.
4%
W WS%
4
W
2EVpUYHVHFyPRGHVSXpVGHOIODQFRODVHQWUDGDVPDQWLHQHQVX
YDORUHOWS%WS$1'WS25!WKROG SRUORTXHWKROG VHUHVSHWDODV
'DWRV 6DOLGDVGHWRGRVORVELHVWDEOHVDQLYHOEDMR/HQW
HQWUDGDVPDQWLHQHQVXYDORUGHVSXpVGHOIODQFRXQWLHPSR≥ WKROG
('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV ('± 7HPD ,QWURGXFFLyQDORVVLVWHPDVVHFXHQFLDOHV%LHVWDEOHV
Electrónica Digital
Universidad de Alcalá
(19/06/2012)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 3 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 3
Cuestión 3 ...................................................................................................................................................... 4
Cuestión 4 ...................................................................................................................................................... 5
Cuestión 5 ...................................................................................................................................................... 6
Cuestión 6 ...................................................................................................................................................... 7
Cuestión 7 ...................................................................................................................................................... 8
Cuestión 8 ...................................................................................................................................................... 9
Cuestión 9 ................................................................................................................................................... 10
Cuestión 10 ................................................................................................................................................ 11
E S
D Q D Q
CLK
Q Q
CLK
t
E
t
S
t
2) Diseñe un circuito que tenga esta misma funcionalidad (mismo cronograma) pero con
biestables J-K activos por flanco de subida.
Cuestión 2
Tras estudiar el circuito de la figura 3.1 responda razonadamente a las preguntas que sobre él se
formulan.
Figura 3.1
1) Complete el siguiente cronograma e indique la función que realiza el circuito y la señal C.
Considere que inicialmente Q0=Q1=Q2=0.
t pp = 4ns
t pB = 11ns
t hold = 3ns
t set −up = 5ns
Cuestión 3
CLK
Q0
Q1
Q2
CLK
Q0
Q1
Q2
Cuestión 4
Se dispone del circuito de la figura 5.1
2
D Q
5 S01
2
D Q
5 CK1 3
CLK 6
Q
Si 3
CLK
Q
6 CK2
2
D Q
5 S02
3
CLK 6
Q
Figura 5.1
1) Complete el cronograma mostrado a continuación. Suponga que los biestables comienzan
con Q=0. (NOTA: No es necesario reflejar los tiempos de retardo de los biestables en el
cronograma)
Si
CK1
CK2
S01
S02
2) Los biestables del circuito tienen un tiempo de propagación diferente para la salida Q y para
la Q ( t pQ , t p Q respectivamente). Obtenga el valor máximo posible de t p Q para que el circuito
pueda funcionar correctamente para una señal de entrada Si, cuadrada y periódica, de
frecuencia 10MHz.
DATO: t pQ =40ns. T SU =10ns.
Cuestión 5
A partir del circuito mostrado en la Figura 5.1, formado por biestables JK, se pide:
QA QB QC
J Q J Q J Q
CLK
+5V
R
C
Figura 5.1.
CLK
QA
QB
QC
Cuestión 6
A partir del circuito secuencial mostrado en la Figura 5.1, se pide:
Q0 Q1 Q2
J Q J Q J Q
CLK
R1
VCC
C1
1) Complete la siguiente tabla indicando la evolución de las salidas del circuito durante los
primeros 10 flancos de la señal de reloj, desde el momento de conectar la alimentación.
CLK Q2 Q1 Q0
Inicio
↓
↓
↓
↓
↓
↓
↓
↓
↓
2) Indique el código de numeración de la salida del circuito, así como su módulo en caso de
tratarse de un contador.
Cuestión 7
Dado el siguiente circuito:
Cuestión 8
Dado el circuito de la figura 3.1, complete el cronograma a partir de la conexión de la
alimentación.
Figura 3.1
1 2 3 4 5 6 7 8 9 10
CLK
t
X
CLR
Q1
Q2
Q3
Q4
Cuestión 9
Dado el circuito de la Figura 3.1, se pide:
J0 Q0 J1 Q1 Y
J Q J Q
K Q K Q
K0 K1
CLK CLK
CLR CLR
PRE PRE
CLK
Figura 3.1
1) Complete el circuito de la Figura 3.1 de manera que cuando se conecte la alimentación la
salida Y comience a nivel alto.
CLK
Q0
Q1
3) Calcule la frecuencia máxima de la señal de reloj a partir de los datos que se proporcionan.
Datos: Puertas: tpAND=5 ns; Biestables: tpB=8 ns, tSU=2 ns, th=4 ns.
Cuestión 10
A partir del circuito de la Figura 5.1, responda justificadamente a las siguientes preguntas:
Q0 Q1 Q2
D Q D Q D Q
CLK CLK CLK
Q Q Q
CLK
CLK
Q0
Q1
Q2
Universidad de Alcalá
(01/12/2011)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 3 ..................................................................................................................................... 2
E S
D Q D Q
CLK
Q Q
2) Diseñe un circuito que tenga esta misma funcionalidad (mismo cronograma) pero con
biestables J-K activos por flanco de subida.
Figura 3.1
1) Complete el siguiente cronograma e indique la función que realiza el circuito y la señal C.
Considere que inicialmente Q0=Q1=Q2=0.
t pp = 4 ns
t pB = 11 ns
t hold = 3 ns
t set − up = 5 ns
2
D Q
5 S01
2
D Q
5 CK1 3
CLK 6
Q
Si 3
CLK
Q
6 CK2
2
D Q
5 S02
3
CLK 6
Q
Figura 5.1
1) Complete el cronograma mostrado a continuación. Suponga que los biestables comienzan
con Q=0. (NOTA: No es necesario reflejar los tiempos de retardo de los biestables en el
cronograma)
2) Los biestables del circuito tienen un tiempo de propagación diferente para la salida Q y para
la Q ( t pQ , t p Q respectivamente). Obtenga el valor máximo posible de t p Q para que el circuito
pueda funcionar correctamente para una señal de entrada Si, cuadrada y periódica, de
frecuencia 10MHz.
DATO: tpQ=40ns. TSU=10ns.
QA QB QC
J Q J Q J Q
CLK
+5V
R
C
Figura 5.1.
Q0 Q1 Q2
J Q J Q J Q
CLK
R1
VCC
C1
1) Complete la siguiente tabla indicando la evolución de las salidas del circuito durante los
primeros 10 flancos de la señal de reloj, desde el momento de conectar la alimentación.
2) Indique el código de numeración de la salida del circuito, así como su módulo en caso de
tratarse de un contador.
Figura 3.1
Figura 3.1
1) Complete el circuito de la Figura 3.1 de manera que cuando se conecte la alimentación la
salida Y comience a nivel alto.
3) Calcule la frecuencia máxima de la señal de reloj a partir de los datos que se proporcionan.
Datos: Puertas: tpAND=5 ns; Biestables: tpB=8 ns, tSU=2 ns, th=4 ns.
Q0 Q1 Q2
D Q D Q D Q
CLK CLK CLK
Q Q Q
CLK
5HJLVWURV\FRQWDGRUHV &ODVLILFDFLyQ
5HJLVWURVGHDOPDFHQDPLHQWR
$OPDFHQDQLQIRUPDFLyQ
5HJLVWURVGHGHVSOD]DPLHQWR
$OPDFHQDQ\SHUPLWHQHOGHVSOD]DPLHQWR GHVXFRQWHQLGR
5HJLVWURV\FRQWDGRUHV 5HJLVWURV
ËQGLFH 5HJLVWURVGHDOPDFHQDPLHQWR
5HJLVWURVGHDOPDFHQDPLHQWR
%DVDGRVHQIOLSIORSVWLSR'
,QWURGXFFLyQ &RQHQWUDGDV\VDOLGDVGHGDWRV VHxDOGHUHORM\VHxDOHVGHFRQWURO
5HJLVWURV , , , ,
0RGRVGH (QWUDGDV 6DOLGDV
&RQWDGRUHV
RSHUDFLyQ
&/5 &/. ,L 4LW
5HJLVWUR
&/5 5HVHW FOHDU ; ;
$OPDFHQDPLHQWR
&/.
/RDG³´ Ç
E3 E E1/RDG³´ E0 Ç
4 4 4 4
2
, , , ,
D Q D Q D Q D Q
CLK
> CLK > CLK > CLK > CLK
CLR
4 4 4 4
S3 S2 S1 S0
5HJLVWURV\FRQWDGRUHV 5HJLVWURV
,QWURGXFFLyQ'HILQLFLRQHV 5HJLVWURVGHDOPDFHQDPLHQWR
(QVLVWHPDVVHFXHQFLDOHV 5HJLVWURVGHDOPDFHQDPLHQWR
(OHPHQWRVEiVLFRVGHPHPRULDELHVWDEOHV library ieee;
&yGLJR9+'/
use ieee.std_logic_1164.all;
&DSDFLGDGGHDOPDFHQDPLHQWROLPLWDGD , , , ,
entity reg_alma is
FDGDELHVWDEOHDOPDFHQDXQELW port(
I: in std_logic_vector(3 downto 0); 5HJLVWUR
&/5
&DSDFLGDGGHFRQWURO CLK:
CLR:
in std_logic;
in std_logic; &/.
$OPDFHQDPLHQWR
Q: out std_logic_vector(3 downto 0)
);
4 4 4 4
%XVFDUHPRVDSOLFDFLRQHVTXHH[WLHQGDQOD
end reg_alma;
SRWHQFLD\ODFDSDFLGDGGHHVRVHOHPHQWRV
architecture RTL of reg_alma is
begin
(QWUDGDV 6DOLGDV
EiVLFRV
process(CLK, CLR)
begin
&/5 &/. ,L 4LW
LQFUHPHQWDQGRODFDSDFLGDGGHDOPDFHQDPLHQWR if CLR = '0' then ; ;
UHJLVWURV
Q <= (others => '0');
elsif (CLK'event and CLK='1') then Ç
UHDOL]DQGRWDUHDVUHODFLRQDGDVFRQHOFRQWHR GH
Q <= I;
end if; Ç
Universidad de Alcalá
(02/07/2012)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 4 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 3
Cuestión 3 ...................................................................................................................................................... 4
Cuestión 4 ...................................................................................................................................................... 5
Cuestión 5 ...................................................................................................................................................... 6
Cuestión 6 ...................................................................................................................................................... 7
Cuestión 7 ...................................................................................................................................................... 8
Cuestión 8 ................................................................................................................................................... 10
Cuestión 9 ................................................................................................................................................... 11
Cuestión 10 ................................................................................................................................................ 12
Cuestión 11 ................................................................................................................................................ 13
Cuestión 12 ................................................................................................................................................ 14
Cuestión 1
El registro universal 74LS194 de la Figura 1.1 es sometido a las señales de la Figura 1.2.
Complete el cronograma de la Figura 1.2 justificando su respuesta.
74LS194A
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
CLK
S1 t
S0
SL
SR
QA
QB
QC
QD
Figura 1.2.
Cuestión 2
1) Atendiendo al circuito que aparece en la Figura 2.1, complete la tabla que aparece a
continuación.
IN
SR Q0
A QA Q1 SG1 OUT
B QB Q2 J Q
C QC Q3 K Q
D QD
SL CLK
CLK CLR
VCC S0
S1
CLR
74194
SG2
CLK
VCC
R
2) El circuito anterior se puede utilizar como un filtro digital que elimina los pulsos de corta
duración debidos al ruido que pudiera aparecer en la señal de entrada. Indique la duración
máxima (en ciclos de reloj) de los pulsos que dicho circuito es capaz de eliminar.
Cuestión 3
VCC
SR
A QA
B QB
C QC
D QD
SL
Reloj
CLK
S0
S1
VCC CLR
R 74LS194
C
Reloj QD QC QB QA Operación
Inicio
↑
↑
↑
↑
↑
↑
↑
2) Basándose en el montaje de la Figura 3.1, realice un nuevo diseño, incluyendo los elementos
necesarios, de forma que la secuencia del contador sea periódica desde la conexión de la
alimentación.
Cuestión 4
A partir del circuito de la figura 4.1, realizado con el circuito integrado 74LS194.
Vcc
SR
A QA
B QB
C QC
D QD
Vcc
SL
CLK CLK
S0
S1
Vcc
CLR
R C 74LS194
Cuestión 5
Dado el circuito la Figura 5.1, se pide:
+5V
J
K
A QA
B QB
C QC
D QD
RELOJ CLK QD
S/L
+5V
CLR
74195
Bloque 1
2) Si la señal de reloj tiene un periodo de 1 ms, ¿cuánto tiempo hay que mantener un nivel bajo
en dicho terminal para garantizar que se produce la carga al conectar la alimentación?
RELOJ QA QB QC QD J K
+↑
Inicio
Conex.
↑
↑
↑
↑
↑
↑
↑
↑
↑
↑
Módulo contador:
Cuestión 6
A partir del circuito de la figura 6.1, y de la tabla de funcionamiento del integrado 74194,
responda justificadamente a las siguientes preguntas:
SR
A QA
B QB
+5V +5V C QC
D QD
SL
CLK
C CLK
S0
S1
CLR
74194
R
CLK S1 S0 QA QB QC QD
Conex. + ↑
Inicio
↑
↑
↑
↑
↑
↑
↑
↑
↑
↑
↑
↑
Cuestión 7
Basándose en el contador de décadas 74160 se ha diseñado otro contador de 4 bits, con salidas
Q’DQ’CQ’BQ’A, como se muestra en la Figura 7.1.
QA'
A QA QB'
B QB QC'
C QC QD'
D QD
CLK
CLK RCO
EN CLR
ENP
ENT
LOAD QA'
QB'
74160 QC'
QD'
VCC VCC CLK
J Q
R EN
CLK
C K CLR Q
Contador diseñado
Figura 7.1.
TPCont’
clk
[QD’..QA’]
Qi Qi+1
2) Complete la tabla con las salidas del contador diseñado, cuando la señal EN=’H’.
Cuestión 8
En el circuito de la Figura 8.1 se representa un contador cuya salida es interpretada en
complemento a 2. El diseño está basado en un contador ascendente/descendente con entrada de
carga (LOAD) asíncrona.
VCC
A QA
CLK B QB
C QC
D QD
CO
DN BO
LOAD
UP
VCC
C1
R C
Q D
Q
CLK
CLR
PRE VCC
R
2) Indique las modificaciones que habría que hacer en el circuito para realizar una cuenta
asimétrica entre el -3 y el +5.
Cuestión 9
En la Figura 9.1 se muestra un circuito secuencial basado en un contador del que se proporciona
su cronograma de funcionamiento en la Figura 9.2. Se pide:
A QA
VCC B QB
C QC
D QD
CLK
R CLK RCO
ENP
ENT
LOAD
U/D
C 74LS669
D Q
Q
CLK
CLR
CE
Figura 9.1. Sistema secuencial. Figura 9.2. Cronograma de funcionamiento del 74LS669
1) Complete la siguiente tabla indicando la evolución de las salidas del contador desde el
momento de conexión de la alimentación, durante los 12 flancos de reloj siguientes a la carga
del condensador.
CLK QD QC QB QA
Conexión + ↑
↑
Funcionamiento del biestable
↑
CLR CE D CLK Qt+1 ↑
L X X X L
↑
↑
H H X X Qt ↑
H L Dt Dt ↑
↑
↑
↑
↑
↑
2) Determine la máxima frecuencia de funcionamiento del circuito a partir de los datos que se
adjuntan a continuación, atendiendo únicamente a la señal LOAD.
Datos: tpPUERTAS=5 ns; Contador: tpCONT=18ns; tSU_LOAD= 2 ns; tSu_U/D= 4 ns; Biestable: tpB= 12
ns; tSU_D= 2 ns; tSU_CE= 2 ns.
Cuestión 10
En la Figura 10.1 se muestra un circuito realizado con un contador genérico binario natural
bidireccional, con entradas CLR asíncrona y LOAD síncrona y salida de fin de cuenta MX/MN
activa a nivel alto durante un ciclo de la señal de reloj. Se pide:
QA
A QA QB
B QB QC
C QC QD
D QD
CLK
CLK
MX/MN
D/U
LOAD
CLR
+5V R
+5V
Q J
C
CLK
CLR K
1) Complete la siguiente tabla indicando los eventos que ocurren tras las situaciones que se
plantean.
Situaciones Eventos
Valor inicial de cuenta:
Conexión de la alimentación Sentido inicial de cuenta:
Valor inicial de LOAD:
En cuenta ascendente:
Llegada de Q al fin de cuenta
En cuenta descendente:
En cuenta ascendente:
Salida Q=5
En cuenta descendente:
En cuenta ascendente:
Salida Q=10
En cuenta descendente:
2) Escriba ordenadamente y en decimal los códigos que forman la secuencia que sigue la salida
del circuito, indicando el módulo del contador obtenido.
Cuestión 11
1) A partir de un contador binario natural genérico de módulo 16, cuya tabla de funcionamiento
se adjunta, obtenga un contador que disponga de dos señales de selección, S1 y S0, y una
entrada de Enable activa a nivel alto, E, que permitan indicar el código de salida y el sentido
de la cuenta, según se indica en la tabla mostrada, y que al conectar la alimentación comience
en el código 0. Puede emplear puertas AND, NAND y OR en el menor número posible.
Nota: CO se activa cuando en cuenta descendente el código de salida es el 0. BO se activa
cuando en cuenta ascendente el código de salida es el 15.
A QA
B QB
CLK C QC
D QD
E CPU CO
CPD BO
PL
MR
S0
S1
2) A partir del mismo contador genérico, diseñe un contador que siga la secuencia 6, 7, 8, 9, 10,
6, 7, 8...
A QA
B QB
C QC
D QD
CPU CO
CPD BO
PL
MR
Cuestión 12
1) Indique cuál será el valor inicial de las salidas de los componentes 74LS164 y 74LS169 una
vez transcurrido el transitorio del circuito RC, sabiendo que la constante de tiempo de dicha
red es mayor que el período de la señal de reloj (τ=RC > TCLK). Obtenga además en este
apartado las ecuaciones lógicas de aquellas entradas de ambos circuitos que considere
necesarias para analizar su funcionamiento y rellenar posteriormente el cronograma del
siguiente apartado.
2) Partiendo del estado inicial que haya justificado en el apartado anterior y suponiendo que la
red RC ha alcanzado su régimen estable, rellene el cronograma adjunto para el circuito de la
Figura 12.1.
1 2 3 4 5 6 7 8 9 10
CLK
t
E
LOAD
CTEN
U/D
Número decimal
formado por
QDQCQBQA del
contador
Número
hexadecimal
formado por
QH..QA del registro
entity reg_es_ss is
(QWUDGDV 6HxDOHVLQWHUPHGLDV 6DOLGD
port(
&ODVLILFDGRVHQIXQFLyQGHVXFRPSRUWDPLHQWR(6 SI:
CLR:
in std_logic;
in std_logic;
&/5 &/. 6, 4W 4W 4W 4W 62
5HJLVWURV 5HJLVWURV
5HJLVWURVGHGHVSOD]DPLHQWR 5HJLVWURVGHGHVSOD]DPLHQWR
(QWUDGDVHULH± VDOLGDVHULH (QWUDGDVHULH± VDOLGDSDUDOHOR
(QWUDGDV 6HxDOHVLQWHUPHGLDV 6DOLGD (QWUDGDV 6DOLGDV
6,
&/5 &/. 6, 4W 4W 4W 4W 62 &/5 &/. 6, 4W 4W 4W 4W
6, 62 &/5 (663
&/5 (666 ; ; &/. ; ;
&/. R ; 4W 4W 4W 4W 4W R ; 4W 4W 4W 4W
4 4 4 4
↓ VL VL4W 4W 4W 4W ↓ VL VL4W 4W 4W
1 2 3 4 5 6 7 8 9 10 11 12 13 14
SALIDA PARALELO CLK
Q0 Q1 Q2 Q3
SIE CLR
D Q D Q D Q D Q SSO 4S 0 4S1 4S2 4S 3
6,
> CLK > CLK > CLK > CLK E 6,
D Q D Q D Q D Q
4
CLR CLR CLR CLR > CLK > CLK > CLK > CLK
CLR CLR CLR CLR 4
CLK
LK &/.
4
CLR LR &/5
4
5HJLVWURV 5HJLVWURV
5HJLVWURVGHGHVSOD]DPLHQWR 5HJLVWURVGHGHVSOD]DPLHQWR
(QWUDGDVHULH± VDOLGDVHULH 6, 62 (QWUDGDVHULH± VDOLGDSDUDOHOR
&/5 (666 library ieee;
&yGLJR9+'/
&/. use ieee.std_logic_1164.all; 6,
entity reg_es_sp is &/5 (663
Q0 Q1 Q2 Q3 port( &/.
SI: in std_logic;
SIE D Q D Q D Q D Q SSO CLR: in std_logic;
CLK: in std_logic; 4 4 4 4
> CLK > CLK > CLK > CLK Q: out std_logic_vector(3 downto 0));
end reg_es_sp;
CLR CLR CLR CLR
architecture RTL of reg_es_sp is
CLK signal S: std_logic_vector(3 downto 0);
begin
CLR process(CLR, CLK) (QWUDGDV 6DOLGDV
begin
if CLR = '0' then &/5 &/. 6, 4W 4W 4W 4W
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 S <= (others => '0');
; ;
CLK elsif (CLK'event and CLK='0') then
S <= S(2 downto 0) & SI; R ; 4W 4W 4W 4W
CLR end if;
end process; ↓ VL VL4W 4W 4W
Q <= S;
SI
end RTL;
SO
5HJLVWURV 5HJLVWURV
5HJLVWURVGHGHVSOD]DPLHQWR 5HJLVWURVGHGHVSOD]DPLHQWR
(QWUDGDSDUDOHOR± VDOLGDVHULH 5HJLVWURGHGHVSOD]DPLHQWRXQLYHUVDO
&yGLJR9+'/
'LVSRQHGHWHUPLQDOHVGHFRQWURO
library ieee;
use ieee.std_logic_1164.all; , , , , , 4
entity reg_ep_ss is
port( 6, 62
&\&VtQFURQRVSDUDHOHJLU , 4
6/ (366 , 4
I:
SI:
in std_logic_vector(3 downto 0);
in std_logic; 0DQWHQHUGDWRV 5HJLVWUR
&/.
, 4
'HVSOD]DUDGHUHFKDV
SL: in std_logic;
CLK: in std_logic; XQLYHUVDO
65,
'HVSOD]DUL]TXLHUGDV
'HVSOD]DU L]TXLHUGDV
SO: out std_logic);
end reg_ep_ss;
reg ep ss 6
6/,
architecture RTL of reg_ep_ss is &DUJDUGDWRVHQSDUDOHOR &/.
signal S: std_logic_vector(3 downto 0);
begin &/5
process(CLK)
begin & &
if (CLK'event and CLK='0') then 6HxDOHVLQWHUPHGLDV &/5 & & &/. 65, 6/, ,,,, 4W 4W 4W 4W
if (SL = '0') then
S <= I; 6/ &/. 6, ,L 4W 4W 4W 4W 62 ; ; ; ; ; ;;;;
else ↑ ; ; ;;;; 4W 4W 4W 4W
S <= S(2 downto 0) & SI; ↓ ; ,L ,,,, ,
end if; ↑ VUL ; ;;;; VUL4W 4W 4W
end if; ↓ VL ; VL4W 4W 4W 4W
↑ ; VOL ;;;; 4W 4W 4W VOL
end process;
SO <= S(3); ↑ ; ; LLLL LLLL
end RTL;
5HJLVWURV 5HJLVWURV
5HJLVWURVGHGHVSOD]DPLHQWR 5HJLVWURVGHGHVSOD]DPLHQWR
(QWUDGDSDUDOHOR± VDOLGDSDUDOHOR 5HJLVWURGHGHVSOD]DPLHQWRXQLYHUVDO
, , , , 6HxDOHVLQWHUPHGLDV
, ,B ,C ,D
6,
A
6/ &/. 6, ,L 4W 4W 4W 4W 62 &
(363
C1
6/
&/. ↓ ; ,L ,,,, , &
C0
,E 0 ,E1 ,
E2 ,
E3
6/
CONTROL
&75/
6,
(175$'$
ENTRADA
D Q D Q D Q D Q
6(5,((
SERIE > CLK > CLK > CLK > CLK
CLR CLR CLR CLR
CLK
CLR
D Q D Q D Q D Q
> CLK > CLK > CLK > CLK
Q Q Q Q 4
QA 4
QB 4
QC 4
QD
&/.
CLK
4
S0 4
S1 4
S2 4S3
end RTL;
5HJLVWURV 5HJLVWURV
(MHPSORVFRPHUFLDOHV (MHPSORVFRPHUFLDOHV
+& +&
Connection Diagram
S1 S0
5HJLVWURV &RQWDGRUHV
(MHPSORVFRPHUFLDOHV ,QWURGXFFLyQ
+& 'HILQLFLyQ
&LUFXLWROyJLFRFDSD]GHJHQHUDUFRQWDUHQ
FyGLJRELQDULRHOQ~PHURGHIODQFRVDFWLYRVGH
UHORMUHFLELGRV
6LHPSUHJHQHUDQVHFXHQFLDFtFOLFD
&ODVLILFDFLyQVHJ~QUHODFLyQFRQUHORM
&ODVLILFDFLyQ VHJ~Q UHODFLyQ FRQ UHORM
6tQFURQRV
(OPLVPR UHORMOOHJDDWRGRVORVELHVWDEOHV
FDPELDQWRGRVDODYH]
$VtQFURQRV
3XHGHKDEHUUHORMHVGLVWLQWRVDWDFDQGRDORV
1RWD 8QQRPEUHPiVDFRUGHSDUDHOSLQ&ORFN,QKLELW ELHVWDEOHV FDPELDQHQGLVWLQWRVLQVWDQWHV
GHDFXHUGRDODIXQFLyQTXHUHDOL]DVHUtD&ORFN(QDEOH
DOJXQRVIDEULFDQWHVOROODPDQDVt
&RQWDGRUHV &RQWDGRUHV
&RQWDGRUHVDVtQFURQRV &RQWDGRUHVVtQFURQRV
$VFHQGHQWHELQDULRELWV $VFHQGHQWHELQDULRELWVVtQFURQR
QA QB QC QD
J Q J Q J Q J Q
&RQSXHUWDVGHHQWUDGDV '&%$
QA QB
CLK
> CLK > CLK > CLK > CLK
QC QD
'1' K CLR Q
'1' K CLR Q
'1' K CLR Q
'1' K CLR Q
CLR
'1' J Q J Q J Q J Q
CLK
> CLK > CLK > CLK > CLK
f K CLR Q K CLR Q K CLR Q K CLR Q
QA f/2 CLK
CLR
QB
)UHFXHQFLDPi[LPD
f/4
&RQWDGRUHV &RQWDGRUHV
&RQWDGRUHVDVtQFURQRV &RQWDGRUHVVtQFURQRV
&RQVLGHUDFLRQHVFRQWDGRUHV $VFHQGHQWHELQDULRELWVVtQFURQR
DVtQFURQRV library ieee; &yGLJR9+'/
&/. use ieee.std_logic_1164.all;
3UREOHPDV 4$
entity cont_asc is
port(
4
4
7LHPSRGHUHWDUGRSURSRUFLRQDO CLK:
CLR:
in std_logic;
in std_logic;
WS4
DOQ~PHURGHELHVWDEOHV
~ 4% $
Q: out std_logic_vector(3 downto 0));
end cont_asc;
&RQWDGRU 4
DVFHQG 4
+D\HVWDGRVLQWHUPHGLRV architecture RTL of cont_asc is
ELWV
WS4%
JOLWFKHVSRUTXHORVLQVWDQWHVGH
signal Pre_Q: std_logic_vector(3 downto 0);
&/.
begin
FRQPXWDFLyQVRQGLVWLQWRV >4@
process(CLK, CLR)
begin &/5
if CLR = '0' then
)UHFXHQFLDPi[LPD Pre_Q <= (others => '0');
elsif (CLK'event and CLK='1') then
6LHQGRQ HO
Pre_Q <= Pre_Q + 1;
IPi[ = Q~PHURGHELWV
end if;
end process;
QÂW S4 GHOFRQWDGRU Q <= Pre_Q;
end RTL;
&RQWDGRUHV &RQWDGRUHV
&RQWDGRUHVXQLYHUVDOHV &RQWDGRUHVXQLYHUVDOHV
0RGR (MHPSOR9+'/FRQWDGRUDVFHQGHQWH%&'
&XHQWDQ%LQDULR%&' library ieee; &yGLJR9+'/ architecture RTL of cont_bcd is
use ieee.std_logic_1164.all;
7HUPLQDOHV use ieee.std_logic_arith.all;
signal Pre_Q: std_logic_vector(3 downto 0);
begin
use ieee.std_logic_unsigned.all;
process(CLK, CLR)
7tSLFRVGHFRQWURO&O/RDG« entity cont_bcd is port( begin
if (CLR = '0') then
$YHFHVWLHQHQ I:
CLK:
in std_logic_vector(3 downto 0);
in std_logic; Pre_Q <= (others => '0');
FORFNLQKLELWHQDEOHV« CLR: in std_logic; elsif (CLK'event and CLK='1') then
if (CE=
(CE='1')
1 ) then
LOAD
LOAD: i std_logic;
in td l i
if (LOAD='0') then
5&2,QGLFDVLVHKDOOHJDGRDO
CE: in std_logic;
6 Q: out std_logic_vector(3 downto 0) Pre_Q <= I;
$ else
/ ILQGHFXHQWDXVXDOGXUDQWH7&/. );
end cont_bcd; if (Pre_Q = 9) then
Pre_Q <= (others => '0');
,
' 0[0Q0i[0LQ &RPR5&2 , 4
else
Pre_Q <= Pre_Q +1;
, 4
$
ORLQGLFDQRUPDOGXUDQWHXQ7&/. , 4 end if;
, 4 end if;
&RQWDGRU end if;
$OJXQRVFRQWDGRUHVELGLUHFFLRQDOHVWLHQHQHQWUDGDVGHUHORM %&'
%LGLUHFFLRQDO
end if;
LQGHSHQGSDUDFRQWDU8S\'RZQHQOXJDUGHVHxDO8' /2$' ELWV
end process;
Q <= Pre_Q;
&(
&/.8 \&/.'SDUDFXHQWDDVFHQGHQWHVHLQWURGXFHQORVIODQFRVD end RTL;
&/.
FRQWDUSRUSLQ&/.X \SDUDGHVFHQGHQWHSRU&/.'
&/5
&RQWDGRUHV &RQWDGRUHV
&RQWDGRUHVXQLYHUVDOHV &RQWDGRUHVFRPHUFLDOHV
(MHPSORFRQWDGRU ,
,
4
4
[
ELGLUHFFLRQDO ,
,
4
4
&RQWDGRU
8' %LQDULR
0[0QVHDFWLYDDQLYHOµ¶GXUDQWHXQ7&/.
%LGLUHFFLRQDO
FXDQGRHQFXHQWDDVFHQGHQWH4>@ /2$' 3DUDLQGLFDU
ELWV 0[0Q ILQGHFXHQWD
FXDQGRHQFXHQWDGHVFHQGHQWH4>@ (1 FRPR5&2
&/.
)XQFWLRQWDEOH
&/5
; ; ; ; ;;;;
FRQ(QDEOH±&7(1/RDGVtQFURQR \&OHDU
DVtQFURQRFXHQWHHQOXJDUGHHQWUH\"
(QWUH>1 1@ 'DWRV
1 1
, 4 5&2VHDFWLYDDQLYHOµ¶GXUDQWH7&/.
, 4
VHPLFLFORFRQ&/. FXDQGRODFXHQWD
, 4
, 4
DOFDQ]D4>@
&RQWDGRU
%LQDULR
/2$' ELWV &7(1GHVDFWLYDGDGHWLHQHODFXHQWDHLQKLEH
&7(1
ODVDOLGD5&2DXQTXHODFXHQWDHVWpHQHO
&/.
5&2 YDORU
&/5
,PSRUWDQWH 3DUDKDFHUYDULDFLRQHVGHOLQLFLR\RILQGHFXHQWDXWLOLFH
FRQWDGRUHVFRQ/RDGVtQFURQRXVHWHUPLQDOHVVtQFURQRVSDUDTXHWRGRVORV
/RDG HVWDGRVGHVDOLGDGXUHQORPLVPR\QRKD\DHVWDGRVWUDQVLWRULRVJOLWFKHV
('± 7HPD 5HJLVWURV\FRQWDGRUHV ('± 7HPD 5HJLVWURV\FRQWDGRUHV
&RQWDGRUHV &RQWDGRUHV
&RQWDGRUHVFRPHUFLDOHV ([WHQVLyQ
[ \&RQWDGRUGHGpFDGDV ¢&yPRFRQVWUXLUtDXQFRQWDGRUGHELWVDSDUWLUGH
\&RQWDGRUELQDULR
FRQWDGRUHVGHELWVFRQ(QDEOH±&7(1/RDG
SLQ5&2
VtQFURQR \&OHDUDVtQFURQRFRPRORVGHODILJXUD"
)XQFWLRQWDEOH
'DWRV
, 4 5&2VHDFWLYDDQLYHOµ¶GXUDQWH7&/.
, 4
VHPLFLFORFRQ&/. FXDQGRODFXHQWD
, 4
, 4
DOFDQ]D4>@
&RQWDGRU
%LQDULR
/2$' ELWV &7(1GHVDFWLYDGDGHWLHQHODFXHQWDHLQKLEH
)XQFWLRQWDEOH &7(1
ODVDOLGD5&2DXQTXHODFXHQWDHVWpHQHO
&/.
5&2 YDORU
&/5
&RQWDGRUHV ,QWHUFRQH[LyQGHFLUFXLWRVVHFXHQFLDOHV
&RQWDGRUHVFRPHUFLDOHV 'LVHxRDVtQFURQR
'LVHxRDVtQFURQRFRH[LVWHQYDULDVVHxDOHVGHVLQFURQLVPR
[
/yJLFD
Sistema FRPELQDFLRQDO Sistema
(175$'$6 secuencial
secuencial
6$/,'$6
CLK /yJLFD
FRPELQDFLRQDO
/RDG
1RWD $TXt5&2GXUDXQ7&/.
/yJLFD
Sistema FRPELQDFLRQDO Sistema
(175$'$6 secuencial
secuencial
/yJLFD 6$/,'$6
CE
CLK FRPELQDFLRQDO
,QWHUFRQH[LyQGHFLUFXLWRVVHFXHQFLDOHV
RST
g
Decodificador f
Prescaler S4 Contador Q[3]
S3 e
Q[2]
S2 d
1/N BCD Q[1]
CE BCD7SEG c
S1 Q[0]
S0 b
a
CLK
N=10
CE=?
Universidad de Alcalá
(25/11/2012)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 4 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 3
Cuestión 3 ...................................................................................................................................................... 5
Cuestión 4 ...................................................................................................................................................... 7
Cuestión 5 ...................................................................................................................................................... 8
Cuestión 6 ...................................................................................................................................................... 9
Cuestión 7 ................................................................................................................................................... 10
Cuestión 8 ................................................................................................................................................... 12
Cuestión 9 ................................................................................................................................................... 13
Cuestión 10 ................................................................................................................................................ 14
Cuestión 11 ................................................................................................................................................ 15
Cuestión 12 ................................................................................................................................................ 16
Cuestión 1
El registro universal 74LS194 de la Figura 1.1 es sometido a las señales de la Figura 1.2.
Complete el cronograma de la Figura 1.2 justificando su respuesta.
74LS194A
Cuestión 2
1) Atendiendo al circuito que aparece en la Figura 2.1, complete la tabla que aparece a
continuación.
IN
SR Q0
A QA Q1 SG1 OUT
B QB Q2 J Q
C QC Q3 K Q
D QD
SL CLK
CLK CLR
VCC S0
S1
CLR
74194
SG2
CLK
VCC
R
SG2
2) El circuito anterior se puede utilizar como un filtro digital que elimina los pulsos de corta
duración debidos al ruido que pudiera aparecer en la señal de entrada. Indique la duración
máxima (en ciclos de reloj) de los pulsos que dicho circuito es capaz de eliminar.
Cuestión 3
VCC
SR
A QA
B QB
C QC
D QD
SL
Reloj
CLK
S0
S1
VCC CLR
R 74LS194
C
2) Basándose en el montaje de la Figura 3.1, realice un nuevo diseño, incluyendo los elementos
necesarios, de forma que la secuencia del contador sea periódica desde la conexión de la
alimentación.
QC
QD
Cuestión 4
A partir del circuito de la figura 4.1, realizado con el circuito integrado 74LS194.
Vcc
SR
A QA
B QB
C QC
D QD
Vcc
SL
CLK CLK
S0
S1
Vcc
CLR
R C 74LS194
Cuestión 5
Dado el circuito la Figura 5.1, se pide:
+5V
J
K
A QA
B QB
C QC
D QD
RELOJ CLK QD
S/L
+5V
CLR
74195
Bloque 1
2) Si la señal de reloj tiene un periodo de 1 ms, ¿cuánto tiempo hay que mantener un nivel bajo
en dicho terminal para garantizar que se produce la carga al conectar la alimentación?
Conex. + ↑
Cuestión 6
A partir del circuito de la figura 6.1, y de la tabla de funcionamiento del integrado 74194,
responda justificadamente a las siguientes preguntas:
SR
A QA
B QB
+5V +5V C QC
D QD
SL
CLK
C CLK
S0
S1
CLR
74194
R
Conex. + ↑
0 1
Cuestión 7
Basándose en el contador de décadas 74160 se ha diseñado otro contador de 4 bits, con salidas
Q’DQ’CQ’BQ’A, como se muestra en la Figura 7.1.
QA'
A QA QB'
B QB QC'
C QC QD'
D QD
CLK
CLK RCO
EN CLR
ENP
ENT
LOAD QA'
QB'
74160 QC'
QD'
VCC VCC CLK
J Q
R EN
CLK
C K CLR Q
Contador diseñado
Figura 7.1.
TPCont’
clk
[QD’..QA’]
Qi Qi+1
2) Complete la tabla con las salidas del contador diseñado, cuando la señal EN=’H’.
Cuestión 8
En el circuito de la Figura 8.1 se representa un contador cuya salida es interpretada en
complemento a 2. El diseño está basado en un contador ascendente/descendente con entrada de
carga (LOAD) asíncrona.
VCC
A QA
CLK B QB
C QC
D QD
CO
DN BO
LOAD
UP
VCC
C1
R C
Q D
Q
CLK
CLR
PRE VCC
R
2) Indique las modificaciones que habría que hacer en el circuito para realizar una cuenta
asimétrica entre el -3 y el +5.
Cuestión 9
En la Figura 9.1 se muestra un circuito secuencial basado en un contador del que se proporciona
su cronograma de funcionamiento en la Figura 9.2. Se pide:
A QA
VCC B QB
C QC
D QD
CLK
R CLK RCO
ENP
ENT
LOAD
U/D
C 74LS669
D Q
Q
CLK
CLR
CE
Figura 9.1. Sistema secuencial. Figura 9.2. Cronograma de funcionamiento del 74LS669
1) Complete la siguiente tabla indicando la evolución de las salidas del contador desde el
momento de conexión de la alimentación, durante los 12 flancos de reloj siguientes a la carga
del condensador.
L X X X L
H H X X Qt
H L Dt Dt
2) Determine la máxima frecuencia de funcionamiento del circuito a partir de los datos que se
adjuntan a continuación, atendiendo únicamente a la señal LOAD.
Datos: tpPUERTAS=5 ns; Contador: tpCONT=18ns; tSU_LOAD= 2 ns; tSu_U/D= 4 ns; Biestable: tpB= 12
ns; tSU_D= 2 ns; tSU_CE= 2 ns.
Cuestión 10
En la Figura 10.1 se muestra un circuito realizado con un contador genérico binario natural
bidireccional, con entradas CLR asíncrona y LOAD síncrona y salida de fin de cuenta MX/MN
activa a nivel alto durante un ciclo de la señal de reloj. Se pide:
QA
A QA QB
B QB QC
C QC QD
D QD
CLK
CLK
MX/MN
D/U
LOAD
CLR
+5V R
+5V
Q J
C
CLK
CLR K
1) Complete la siguiente tabla indicando los eventos que ocurren tras las situaciones que se
plantean.
2) Escriba ordenadamente y en decimal los códigos que forman la secuencia que sigue la salida
del circuito, indicando el módulo del contador obtenido.
Cuestión 11
1) A partir de un contador binario natural genérico de módulo 16, cuya tabla de funcionamiento
se adjunta, obtenga un contador que disponga de dos señales de selección, S1 y S0, y una
entrada de Enable activa a nivel alto, E, que permitan indicar el código de salida y el sentido
de la cuenta, según se indica en la tabla mostrada, y que al conectar la alimentación comience
en el código 0. Puede emplear puertas AND, NAND y OR en el menor número posible.
Nota: CO se activa cuando en cuenta descendente el código de salida es el 0. BO se activa
cuando en cuenta ascendente el código de salida es el 15.
Si S0 = 0 Cuenta ascendente
Si S0 = 1 Cuenta descendente
1
A QA 5
B QB 6
CLK
3
C QC 7
Detectar fin de
4
D QD 8
cuenta si S1 = 1
E 1 5
CPU CO
2 6 Detectar 9
CPD BO
3 (1001) si S1 = 1
PL
4
MR
S0
Vcc
S1
2) A partir del mismo contador genérico, diseñe un contador que siga la secuencia 6, 7, 8, 9, 10,
6, 7, 8...
Cuestión 12
1) Indique cuál será el valor inicial de las salidas de los componentes 74LS164 y 74LS169 una
vez transcurrido el transitorio del circuito RC, sabiendo que la constante de tiempo de dicha
red es mayor que el período de la señal de reloj (τ=RC > TCLK). Obtenga además en este
apartado las ecuaciones lógicas de aquellas entradas de ambos circuitos que considere
necesarias para analizar su funcionamiento y rellenar posteriormente el cronograma del
siguiente apartado.
2) Partiendo del estado inicial que haya justificado en el apartado anterior y suponiendo que la
red RC ha alcanzado su régimen estable, rellene el cronograma adjunto para el circuito de la
Figura 12.1.
Autómata de Mealy
Electrónica Digital
Circuito
Circuito qt+1 qt Combinacional zt
xt Combinacional Biestables D de Salida (g)
de Entrada (f) (e t)
qt
CLK
Función de salida (g) zt = g [xt ,qt] Función de transición (f) qt+1 = f [xt, qt]
Tema 5
Autómata de Moore
Síntesis de sistemas secuenciales
Circuito qt+1 qt Circuito
xt Combinacional Biestables D Combinacional zt
de Entrada (f) (e t)
de Salida (g)
(24-01-2013) qt
CLK
Función de salida (g) zt = g [qt] Función de transición (f) qt+1 = f [xt, qt]
Síntesis de Sistemas Secuenciales 5
ED – Tema 5
Autómatas de estados finitos El ESTADO es toda la información que se necesita saber (almacenar) para
Introducción dadas las entradas en unos instantes determinados, deducir las
Definición y Nomenclatura correspondientes salidas (y próximos estados)
Tipos de circuitos secuenciales síncronos El estado define la situación del sistema en todo momento.
Fundamentos El sistema está en cualquier instante en un estado perfectamente definido
Definiciones de entre un número posible, finito, de estados (FSM).
Representación de grafos
Ejemplos El sistema evoluciona realizando una TRANSICIÓN entre un estado (estado
actual) y otro estado (próximo estado o estado siguiente). Sistema síncrono:
Metodología transiciones en flancos de clk
Síntesis de circuitos secuenciales
Síntesis de un autómata de Mealy Las transiciones se realizan, desde cada estado, dependiendo de los valores de
Síntesis de un autómata de Moore las ENTRADAS que recibe el sistema
Codificación en VHDL
El sistema entrega SALIDAS, que pueden generarse:
Máquina de estados Moore
A partir del estado actual (Moore),
Máquina de estados Mealy
A partir del estado actual y de la/s entrada/s (Mealy)
Comparación entre autómatas de Moore y Mealy
Síntesis de Sistemas Secuenciales 2 Síntesis de Sistemas Secuenciales 6
ED – Tema 5 ED – Tema 5
sincronizados con los flancos activos de CLK, Y sumador Z Paso 2/9. Paso 3/9.
comenzando por los bits de menor peso. El CLK Obtención del grafo Obtención de la tabla de
resultado se va presentando a la salida en estados
serie a medida que se va generando, teniendo
X: … 0 0 1 0 1 1 1 1 0 1 0 … Autómata de Mealy
en cuenta los posibles acarreos producidos Y: … 0 1 1 0 0 1 1 0 0 1 1 … Est. act. Entr. actual xt Entr. actual xt
Z: … 0 1 0 1 1 0 1 0 1 0 0 … qt 0 1 0 1
Mealy 0/0 1/1 1/0 q0
Entradas
Salidas q0 q1 0 1
síncronas
q0 q1 q1 q1 q1 1 0
Estado Estado
00/0 11/0 10/0 actual siguiente Estados: 0/1 qt+1 zt
q0: estado con acarreo=0
q1: estado con acarreo=1
Próx. estado Salida
01/1 q0 q1
11/1 X Y Z
Electrónica Digital
TEMA: 5
Síntesis de Sistemas Secuenciales
(29/06/2012)
Índice
1 Aspectos generales sobre circuitos secuenciales.......................................... 3
1.1 Estructura general y funcionamiento ................................................................... 3
1.2 Tipos de circuitos secuenciales............................................................................ 4
2 Aspectos básicos sobre circuitos secuenciales síncronos........................... 4
2.1 Tipos de circuitos secuenciales síncronos.......................................................... 5
3 Representación de los autómatas .................................................................... 6
3.1 Autómata de Mealy................................................................................................. 6
3.2 Autómata de Moore ................................................................................................ 6
4 Síntesis de circuitos secuenciales síncronos ................................................. 7
4.1 Planteamiento del problema.................................................................................. 7
4.2 Resolución con una máquina de estados tipo Moore ......................................... 8
4.2.1 Grafo (diagrama de estados)........................................................................................................ 8
4.2.2 Tabla de estados .......................................................................................................................... 9
4.2.3 Codificación de estados ............................................................................................................... 9
4.2.4 Ecuaciones de salida.................................................................................................................. 10
4.2.5 Tablas de excitación y ecuaciones de entrada de los biestables............................................... 10
4.2.6 Implementación del circuito ........................................................................................................ 12
4.2.7 Entradas asíncronas................................................................................................................... 13
4.3 Resolución con una máquina de estados tipo Mealy .........................................14
4.3.1 Grafo (diagrama de estados)...................................................................................................... 14
4.3.2 Minimización de estados ............................................................................................................ 14
4.3.3 Tabla de estados ........................................................................................................................ 15
4.3.4 Codificación de estados ............................................................................................................. 15
4.3.5 Ecuaciones de salida.................................................................................................................. 16
4.3.6 Tablas de excitación y ecuaciones de entrada de los biestables............................................... 16
4.3.7 Implementación del circuito ........................................................................................................ 18
4.3.8 Entradas asíncronas................................................................................................................... 19
Entrega Selección
Introducción producto
de moneda de producto
En la figura 1 pueden verse varios estados, en los que el sistema espera monedas o
la selección de productos, o entrega el producto. Estos estados memorizan la última acción
del usuario, de forma que el sistema puede responder a las nuevas acciones de forma
diferente dependiendo de la historia pasada: si se selecciona el producto, la salida no será
la misma si ya hemos entregado el dinero o todavía no.
elemento de memoria tiene unas entradas denominadas E i y una salida Q i que almacenará
el estado actual del circuito. Además existe una señal auxiliar, la señal de reloj (CLK), que
juega un papel fundamental en los sistemas secuenciales síncronos.
Entradas Salidas
de datos de datos
x(t) z(t)
Circuito
Combinacional
Excitación
Estado e(t)
actual para generar el
q(t) próximo estado
q(t+1)
Memoria
Circuito et qt Circuito
xt Combinacional Bloque Combinacional zt
de Entrada (f) de Memoria de Salida (g)
t
q
CLK
Circuito et qt Circuito
xt Combinacional Bloque Combinacional zt
de Entrada (f) de Memoria de Salida (g)
t
q
CLK
Las transición entre estados se representan con arcos. De cada estado deben
salir tantas flechas como posibles combinaciones tengan las entradas, ya que
deben estar contempladas las transiciones para todas ellas.
Estado xt/zt
qt qt+1
Transición
Sobre cada arco se indica la entrada que condiciona dicha transición y la salida para
esa entrada y ese estado actual, con una barra inclinada entre ellas (xt / zt).
Estado/Salida xt
qt/zt qt+1/zt+1
Transición
En los grafos de los autómatas de Moore, los estados y las salidas que les
corresponden se muestran dentro de los círculos. Las transiciones entre estados se indican
con flechas que conectan dos estados y sobre estas flechas se indican las entradas que
Tema 5 6 Síntesis de Sistemas Secuenciales
5. Codificación de estados.
En los siguientes apartados se describe, de forma general, cada uno de estos pasos.
Las explicaciones se reforzarán con un ejemplo.
Detector
X0 Secuencia Z0
CLK 101
RESET
Partiendo del planteamiento del problema el primer paso es obtener el grafo del
sistema.
Un mismo enunciado puede dar lugar a distintos grafos iniciales, todos ellos válidos,
pero que pueden ser muy diferentes y, por tanto, con mayor o menor complejidad de
implementación. Sin duda, el mejor grafo será aquel que tenga un menor número de
estados. De la habilidad, ingenio y experiencia del diseñador depende la calidad del grafo.
Los pasos a seguir para establecer un grafo a partir del enunciado se resumen en:
a) Elegir una realización de máquina de Mealy o de Moore (en este caso Moore).
c) Seleccionar un estado inicial, por ejemplo q 0 , (este estado inicial, en muchos casos,
lo sugiere el propio enunciado). Partiendo de este estado inicial, y para cada una de
las posibles combinaciones de entrada, se establece la salida y el siguiente estado
(que puede ser otro o incluso el mismo).
d) Para una máquina de Moore: se indica sobre cada flecha que une dos estados la
combinación de entrada (entrada actual) que origina dicha transición entre estados.
Las salidas correspondientes a cada estado se indican junto a él, dentro del círculo.
En el caso del ejemplo el circuito dispone de una sola entrada, X 0 , y una salida, Z 0 .
En cuanto a los estados, se puede pensar inicialmente en los siguientes:
0
0
1 0 1
q0 / 0 q1 / 0 q2 / 0 q3 / 1
0
1 1
RESET
Otra forma de ordenar los datos codificados de la tabla 2 es tal y como se muestra
en la tabla 3, donde la entrada actual se ha colocado como una nueva columna, lo que
ayuda a la hora de colocar los elementos en los mapas de Karnaugh en las siguientes
etapas.
Las funciones de salida se deberán minimizar por alguno de los métodos conocidos
(Karnaugh, por ejemplo), si fuera necesario. En este caso la función de salida es tan sencilla
que no necesita de simplificación alguna.
Z0t = Q1 t Q0 t
2. Obtener las ecuaciones de entrada de cada biestable. Hay que tener en cuenta
que los biestables T y D sólo tienen una entrada, por lo que cada biestable sólo
necesita una función, mientras que los biestables R-S y J-K tienen dos entradas
por lo que necesitan dos funciones para cada biestable, una para cada entrada.
En el problema de ejemplo hay 4 estados, por tanto se necesitan 2 biestables. Se
utilizarán biestables J-K, y se denominará Q 1 y Q 0 a sus salidas y J 1 , K 1 y J 0 , K 0 a sus
entradas, respectivamente. Si se reescribe la tabla 2, considerando únicamente los estados
actuales y próximos y los valores de J 1 , K 1 y J 0 , K 0 , se obtendrá la tabla 4. La tabla de
excitación de todos los biestables se puede ver en la tabla 11 (Anexo. Tabla de excitación
de biestables, página 20).
Estado actual Entrada actual X 0 (t) Entrada actual X 0 (t) Entrada actual X 0 (t)
Q 1 (t)Q 0 (t) 0 1 0 1 0 1
00 00 01 0X 0X 0X 1X
01 10 01 1X 0X X1 X0
10 00 11 X1 X0 0X 1X
11 10 01 X0 X1 X1 X0
J 1 (t) K 1 (t) J 0 (t) K 0 (t)
Q 1 (t+1) Q 0 (t+1)
Entrada actual Entrada actual
Próximos estados
biestable 1 biestable 0
tabla 4. Tabla de excitación de los biestables del ejemplo con Moore.
Q 1 tQ 0 t Q 1 tQ 0 t
X0t 00 10 11 01 X0t 00 10 11 01
0 X X 0 X X 1 1
1 1 1 X X 1 X X
J 0 t=X 0 t K 0 t=X 0 t
figura 9. Funciones lógicas de las entradas del biestable (J 0, K 0 ) del ejemplo con Moore.
Q 1 tQ 0 t Q 1 tQ 0 t
X0t 00 10 11 01 X0t 00 10 11 01
0 X X 1 0 X 1 X
1 X X 1 X 1 X
J0 Q0
K0
CLK CLK Q0
Zo
J1 Q1
K1
CLK Q1
Vcc
Xo
PR
J0 Q0
K0
CLR
CLK CLK Q0
Zo
PR
J1 Q1
K1
CLR
CLK Q1
RESET
figura 12. Implementación, con RESET asíncrono, del circuito del ejemplo con Moore.
a) Elegir una realización de máquina de Mealy o de Moore (en este caso de Mealy).
c) Seleccionar un estado inicial, por ejemplo q 0 , (este estado inicial, en muchos casos,
lo sugiere el propio enunciado). Partiendo de este estado inicial, y para cada una de
las posibles combinaciones de entrada, establecer la salida y el siguiente estado
(que puede ser otro o incluso el mismo).
d) Para una máquina de Mealy: sobre cada flecha que une dos estados se indica la
combinación de entrada que origina dicha transición entre estados y la salida actual.
En el caso del ejemplo el circuito dispone de una sola entrada, X 0 , y una salida, Z 0 .
En principio se puede pensar en los mismos estados que en el caso de la máquina de
Moore. De este modo el grafo resultante es el de la figura 13.
0/0
0/0
1/0 0/0 1/1
q0 q1 q2 q3
0/0
1/0 1/0
RESET
1/0
0/0
1/0 0/0
q0 q1 q2
1/1
RESET
0/0
Las funciones de salida se deberán minimizar por alguno de los métodos conocidos
(Karnaugh, por ejemplo). En este caso hay una sola entrada, X 0 t y dos líneas de estado Q 1 t
y Q 0 t. A partir de la tabla 7, y utilizando mapas de Karnaugh, se obtiene la función de salida
mostrada en la figura 15.
Q 1 tQ 0 t
X0t 00 10 11 01
0 X
1 1 X
Z 0 t=X 0 t Q 1 t
2. Obtener las ecuaciones de entrada de cada biestable, hay que tener en cuenta
que los biestables T y D sólo tiene una entrada, por lo que cada biestable sólo
necesita una función, mientras que los biestables R-S y J-K tienen dos entradas
por lo que necesitan dos funciones para cada biestable, una para cada entrada.
Estado actual Entrada actual Próximo estado Entrada actual Entrada actual
q(t) x(t) q(t+1) biestable 1 e 1 (t) biestable 0 e 0 (t)
Q 1 (t)Q 0 (t) X 0 (t) Q 1 (t+1)Q 0 (t+1) J 1 (t) K 1 (t) J 0 (t) K 0 (t)
00 0 00 0X 0X
00 1 01 0X 1X
01 0 10 1X X1
01 1 01 0X X0
10 0 00 X1 0X
10 1 10 X0 0X
11 0 XX XX XX
11 1 XX XX XX
tabla 10. Tabla de estados codificada del ejemplo con Mealy.
Q 1 tQ 0 t Q 1 tQ 0 t
X0t 00 10 11 01 X0t 00 10 11 01
0 X X 0 X X
1 1 1 X X 1 X X
J 0 t=X 0 t K 0 t=X 0 t
Q 1 tQ 0 t Q 1 tQ 0 t
X0t 00 10 11 01 X0t 00 10 11 01
0 X X 1 0 X 1 X X
1 X X 1 X 1 X X
J 1 t=Q 0 t X 0 K 1 t=1
t
figura 16. Funciones lógicas de las entradas de los biestables del ejemplo con Mealy.
Xo
J0 Q0
K0
CLK CLK Q0
Zo
J1 Q1
Vcc K1
CLK Q1
Vcc
Xo
PR
J0 Q0
K0
CLR
CLK CLK Q0
Zo
PR
J1 Q1
Vcc K1
CLR
CLK Q1
RESET
figura 18. Implementación, con RESET asíncrono, del circuito del ejemplo con Mealy.
Q(t) Q(t+1) S R J K T D
0 0 0 X 0 X 0 0
0 1 1 0 1 X 1 1
1 0 0 1 X 1 1 0
1 1 X 0 X 0 0 1
tabla 11. Excitación necesaria para producir cada transición en los distintos tipos de biestables.
Una vez elegido el tipo de biestable, se debe averiguar la excitación que se debe
haber en cada una de las entradas de los biestable para producir la transición deseada.
Estas transiciones determinan el estado próximo y depende del estado actual y de la
entrada. Con esta información se construye la tabla de excitación de los biestables, que
puede incluir una sola función (en los biestables T o D) o dos funciones, (en el caso de los
biestables R-S o J-K). Si son 2 funciones, se divide la tabla y se construye una para cada
variable. Por último, se simplifican las tablas obtenidas, (por el método de los mapas de
Karnaugh por ejemplo), y se averigua la función lógica correspondiente a cada terminal de
entrada.
6 Ejercicios resueltos
Solución:
Como paso previo a la elaboración del grafo del autómata, se comenzará planteando
la tabla de verdad del sumador de un bit con acarreo (tabla 12). En ella se ha denominado
X 0 y X 1 a los sumandos y S al resultado (suma).
Para ver los estados que se necesitan solamente hay que darse cuenta de que las
dos situaciones que se pueden presentar son que la suma se realice con y sin acarreo (si se
genera acarreo hay que almacenarlo y sumarlo con los dos bits X 0 y X 1 siguientes). Por
tanto, para recordar si ha habido acarreo o no, se necesitan 2 estados: si la suma no genera
acarreo se debe ir al estado q 0 y si lo genera al q 1 . Estos estados han sido incluidos también
en la tabla 12.
Por otra parte, si en q 1 (acarreo anterior=1) los datos de entrada son X 0 =0 y X 1 =1,
X 0 =1 y X 1 =0 ó X 0 =1 y X 1 =1 la suma es 0 (acarreo anterior (1) + 0 + 1 = 0), 0 (acarreo anterior
(1) + 1 + 0 = 0), 1 (acarreo anterior (1) + 1 + 1 = 1), respectivamente, y en todos los casos el
acarreo generado es 1, lo que hace que permanezca en el estado q 1 . Por el contrario, si los
datos son X 0 =0 y X 1 =0, la suma es 1 y el acarreo generado es 0, y se pasa al estado q 0. El
estado inicial será q 0 , porque el primer acarreo es 0.
01/1 q0 q1
11/1
Entrada actual
Estado actual
q(t) x0 x1 x2 x3
00 01 10 11
q0 q 0 /0 q 0 /1 q 0 /1 q 1 /0
q1 q 0 /1 q 1 /0 q 1 /0 q 1 /1
Estado siguiente/salida actual q(t+1)/z(t)
tabla 13. Tabla de estados del sumador serie.
Como hay 2 estados, será suficiente con 1 biestable. La asignación de estados
puede ser: q 0 = 0, q 1 = 1 y la tabla de transiciones codificada es la mostrada a continuación
(tabla 14). En ella, Q 0 es la salida física del biestable, las líneas de entrada del circuito son
X 0 y X 1 , y la salida física Z 0 .
Una vez que se tienen ya las transiciones del autómata se debe obtener la función
de excitación del biestable para conseguir que se produzcan dichas transiciones. Según la
tabla 11 (Anexo. Tabla de excitación de biestables, página 20), para un biestable J-K, y
denominando J 0 y K 0 a las entradas, se obtiene la tabla 15.
Las columnas de la izquierda dentro del cuadro “Entradas del biestable” para cada
combinación de entrada, se corresponden con el valor que debe tomar J 0 y las columnas de
la derecha con el valor de K 0 .
Estado actual Entrada actual X 0 (t) X 1 (t) Entrada actual X 0 (t) X 1 (t)
q(t) Q 0 (t) 00 01 10 11 00 01 10 11
q0 0 0 0 0 1 0 X 0 X 0 X 1 X
q1 1 0 1 1 1 X 1 X 0 X 0 X 0
Entradas actuales del biestable
Próximos estados Q 0 (t+1)
J 0 (t) K 0 (t)
tabla 15. Tabla de excitación del biestable.
t t t
J0 X 0 X1 K0t X 0 t X 1t
figura 20. Ecuaciones de las entradas del biestable del sumador serie.
0 1 1
Z0t
1 1 1
Z0t X 0 t X 1t Q 0 t X 0 t X 1t Q 0 t X 0 t X 1t Q 0 t X 0 t X 1t Q 0 t X 0 t X 1t Q 0 t
X1 J0 Q0
K0
CLK Q0
CLK
Solución:
En este caso, de nuevo, el circuito dispone de una sola entrada, X 0 , y una salida, Z 0 .
En cuanto a los estados, se puede pensar inicialmente en los siguientes:
Por tanto, el grafo es el mostrado en la figura 23, que se corresponde con la tabla de
estados mostrada en la tabla 16.
0
0
q0/0 q1/0
1
0 0 1
1
q3/1 1 q2/0
Como hay 4 estados se necesitan 2 biestables, y cada estado se codificará con una
combinación de 2 bits. La asignación de estados puede ser: q 0 =00, q 1 =01, q 2 =10, q 3 =11, y
con esto se puede rescribir la tabla 16, obteniéndose la tabla de estados codificada, que se
muestra en la tabla 17.
Q 1tQ 0t Q 1tQ 0t
00 10 11 01 00 10 11 01
X0t X0t
0 0
1 1 1 1 1 1 1 1
D0 t Q 0 t X 0 t Q 1t X 0 t (Q 0 t Q 1t ) X 0 t D 1t Q 1t X 0 t Q 0 t X 0 t (Q 1t Q 0 t ) X 0 t
figura 24. Funciones lógicas de las entradas de los biestables del detector de secuencia.
Z 0 t=Q 0 t.Q 1 t
Ahora ya se tienen todas las funciones necesarias para implementar el circuito, que
se muestra en la figura 25.
D1 Q1
CLK Q1
X0 Zo
D0 Q0
CLK Q0
CLK
Solución:
J0 t 1 K0t 1
Q 1tQ 0t Q 1tQ 0t
00 10 11 01 Q 3tQ 2t 00 10 11 01
Q 3tQ 2t
X X 1 00 X 1 X
00
X X 10 X X X X
10
J1t Q0 t Q3 t K 1t Q0 t
11 X X X X 11 X X X X
01 01 X 1 X
X X 1
Q 1tQ 0t Q 1tQ 0t
Q 3tQ 2t 00 10 11 01 Q 3tQ 2t 00 10 11 01
00 1 X X X X
00
10 X X
J2 t Q 0 t Q 1t 10 X X X X K 2 t Q 1t Q 0 t
11 X X X X 11 X X X X
01 01
X X X X 1
Q 1tQ 0t Q 1tQ 0t
Q 3tQ 2t 00 10 11 01 00 10 11 01
Q 3tQ 2t
00 00 X X X X
10 X X X X J3 t Q 2 t Q 1t Q 0 t 10 X X 1 K3t Q0 t
11 X X X X 11 X X X X
01 1 01 X X X X
Por último, se deben calcular las funciones lógicas de las cuatro salidas, Z 3 , Z 2 , Z 1 y
Z 0 . A partir de la tabla 20, y utilizando mapas de Karnaugh para simplificar, se obtienen las
funciones de la figura 28.
Una vez obtenidas las funciones lógicas de las salidas del circuito y de las entradas
de los biestables, se puede implementar el circuito, como se muestra en la figura 29, y por
último, se añaden en las entradas asíncronas las conexiones necesarias para que empiece
a contar en 0, obteniéndose el circuito de la figura 30.
Q 1tQ 0t Q 1tQ 0t
00 10 11 01 00 10 11 01
Q 3tQ 2t Q 3tQ 2t
1 1 1 1
00 00
10 X X 1 10 X X
Z0t Q0 t Z 1t Q 1t
11 X X X X 11 X X X X
01 1 1 01 1 1
Q 1tQ 0t Q 1tQ 0t
Q 3tQ 2t 00 10 11 01 00 10 11 01
Q 3tQ 2t
00 00
10 X X 1 X X 1
10
Z2t Q2t Z3t Q3 t
11 X X X X 11 X X X X
01 1 1 1 1 01
figura 28. Mapas de Karnaugh y expresiones lógicas de las salidas del autómata.
Vcc Z0 Z1 Z2 Z3
J0 Q0 J1 Q1 J2 Q2 J3 Q3
K0 K1 K2 K3
Vcc Z0 Z1 Z2 Z3
PR
PR
PR
PR
J0 Q0 J1 Q1 J2 Q2 J3 Q3
K0 K1 K2 K3
CLR
CLR
CLR
CLR
CLK CLK Q0 CLK Q1 CLK Q2 CLK Q3
RESET
7 Ejercicios propuestos
3) Realice el grafo a partir de los estados deducidos, denotando claramente la notación del
mismo (preste especial atención a en qué orden pone las variables de entrada y salida, vea
ejemplos en la Fig. 1 y 2); para hacer el grafo, establezca para cada estado, para todas las
combinaciones posibles de las variables de entrada, a qué próximos estados se pasará
al llegar la transición de clk, salidas….
¡¡¡Recuerde que en un grafo tipo Mealy las salidas dependen del estado actual (qt) y de la
entrada actual (xt), mientras que en un grafo tipo Moore las salidas solo dependen del estado
actual (qt)!!!
U/D
X Y Z
qi qj
qi qj
Z1i Z0i Z1j Z0j
Fig. 1. Ejemplo notación grafo Mealy Fig. 2. Ejemplo notación grafo Moore
Nota.- Si no se consiguen extraer los estados del modo anterior puede comenzar creando un
primer estado (típicamente de partida: q0) y, a partir de él, realizar las transiciones para todas las
combinaciones posibles de las variables de entrada, generando próximos estados y salidas.
Después vuelva a realizar un proceso análogo al efectuado sobre q0 sobre los nuevos estados
creados, intentando construir el resto del grafo para que se ajuste al enunciado. Trabajando de
este modo, será fundamental, en pasos posteriores, revisar si hay estados redundantes.
Proceso de comprobación de grafos: fundamental para ver si nuestro autómata cumplirá o no las
especificaciones
1) Compruebe que desde cada estado, en las transiciones desde el mismo, ha tenido en cuenta
todas las combinaciones posibles de las variables de entrada
Universidad de Alcalá
(19/12/2012)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 5 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 3
Cuestión 3 ...................................................................................................................................................... 4
Cuestión 4 ...................................................................................................................................................... 5
Cuestión 5 ...................................................................................................................................................... 7
X Circuito a Z
diseñar
3) Dado el siguiente grafo, realice los pasos necesarios para la obtención de la función lógica de
la salida Z (no es necesario que obtenga las funciones de las entradas de los biestables ni que
implemente el circuito).
q0
q2 q1
Cuestión 2
1) El circuito de la figura 6.1 está realizado con un contador binario natural de 4 bits y señal de
LOAD síncrona. El bloque ‘DET_0100’ genera la salida F que se activa a nivel bajo con la
configuración del contador [QD..QA]=0100 y a nivel alto en caso contrario, siendo QD el bit
de mayor peso. Dibuje el grafo de la máquina de estados de Moore correspondiente al
circuito de la figura 6.1, con entrada CLEAR y salidas Z3...Z0, para los dos siguientes casos: a)
CLR síncrono, y b) CLR asíncrono.
CONTADOR 4bits
15 3 Z0 Máquina de estados
1 A QA 2 Z1 DET F
10 B QB 6 Z2 0100
9 C
D
QC
QD
7 Z3 CLEAR Z3
CLK 5 Z2
CLK
CLEAR 14 13
Z1
CLK
CLR BO
11
LOAD CO
12 Z0
Figura 6.1
0
qi / Z1Z0
q0 / 00
0 1
e
qi / Z1Z0 q2 / 11 q1 / 01
1
x
Codificación de estados Y1 Y0
q0: 00 q1: 01 q2: 10
Cuestión 3
RESET qi
E/S
q0
0/0 1/0
qf
B2 B1 Tabla
B0 6.1
S Correcto
q1 q2 0 0 0
0/0 1/0 0/0 1/0
0 0 1
q3 q4 q5 q6 0 1 0
0 1 1
0/0 0/0 0/1 0/0
1/1 1/1 1/0 1/0 1 0 0
q7 1 0 1
1 1 0
X/0
1 1 1
Se pide:
1) Complete la Tabla 6.1, indicando el valor de la salida y si el código es o no correcto
2) Modifique el grafo para que el proceso de detección sea continuo, es decir, que no sea
necesario pulsar en el RESET para comenzar de nuevo. Obtener el grafo más simplificado
posible.
Cuestión 4
En la Figura 5.1 se muestra el esquema de un sistema para persiana automatizada. Una máquina
de estados, M.E., controla dicho sistema mediante 2 señales de activación de los motores de
subida y de bajada de la persiana, MS y MB respectivamente, los cuales se activan
independientemente con un nivel alto. El usuario acciona un pulsador P, con 3 posiciones: subir,
bajar o parada, que incorpora un codificador para estas tres acciones, codificándose la orden en
P 1 P 0 . Por último, 2 dispositivos detectan la llegada de la persiana al final de recorrido de subida
o bajada, entregando una única señal, Fr, activa a nivel bajo al detectar el fin de recorrido en
cualquiera de ambos sentidos.
Al pulsar el botón de subida o de bajada se genera un nivel alto en el terminal del botón
correspondiente, y la persiana inicia el movimiento en el sentido seleccionado, aunque se
encuentre desplazándose en el sentido contrario. Se detiene en el momento en que el usuario
pulse el botón de parada, o si alcanza el final del recorrido. El sistema mecánico de los
pulsadores impide la activación de dos de ellos simultáneamente
Codif 4:2 P
STOP
I0
P1
I1 O1
STOP I2 P0
O0
I3
EN
Fr Ms
P1 M.E.
P0 Mb
clk
Figura 5.1 Esquema del automatismo para persiana. Izquierda: detalle del pulsador accionado por el usuario
qQii/ Ms Mb qQjj/ Ms Mb
2) A partir del grafo de la Figura 5.2 muestre la tabla de transición de estados codificada, las
funciones lógicas de excitación de los biestables tipo D y de salida, lo más simplificadas que
sea posible, e implemente el circuito correspondiente al grafo. Incluya el diseño del pulsador
de RST asíncrono, activo a nivel bajo, mostrado en el grafo. Emplee biestables con entradas
asíncronas de Clear y Preset activas a nivel bajo.
RST
0
Puls.
x Q0/11 1
Q2/01 Q1/10
x
Figura 5.2
Cuestión 5
0 Notación a emplear:
0
(a) Exprese la tabla de transición de estados la tabla de transición codificada
correspondiente a este grafo.
(b) Obtenga las funciones combinacionales simplificadas necesarias para generar las
transiciones y las salidas, indicando claramente cuál es cada una de ellas
(c) Realice la implementación del circuito generador de código Gray, con biestables D con
entrada de CLR y PRST asíncronas, activas a nivel bajo.
Universidad de Alcalá
(19/12/2012)
Universidad de Alcalá Departamento de Electrónica
Índice
Ejercicios del Tema 5 ..................................................................................................................................... 2
Cuestión 1 ...................................................................................................................................................... 2
Cuestión 2 ...................................................................................................................................................... 4
Cuestión 3 ...................................................................................................................................................... 6
Cuestión 4 ...................................................................................................................................................... 8
Cuestión 5 ................................................................................................................................................... 10
X Circuito a Z
diseñar
3) Dado el siguiente grafo, realice los pasos necesarios para la obtención de la función lógica de
la salida Z (no es necesario que obtenga las funciones de las entradas de los biestables ni que
implemente el circuito).
q0
q2 q1
Cuestión 2
1) El circuito de la figura 6.1 está realizado con un contador binario natural de 4 bits y señal de
LOAD síncrona. El bloque ‘DET_0100’ genera la salida F que se activa a nivel bajo con la
configuración del contador [QD..QA]=0100 y a nivel alto en caso contrario, siendo QD el bit
de mayor peso. Dibuje el grafo de la máquina de estados de Moore correspondiente al
circuito de la figura 6.1, con entrada CLEAR y salidas Z3...Z0, para los dos siguientes casos: a)
CLR síncrono, y b) CLR asíncrono.
CONTADOR 4bits
15 3 Z0 Máquina de estados
1 A QA 2 Z1 DET F
10 B QB 6 Z2 0100
9 C
D
QC
QD
7 Z3 CLEAR Z3
CLK 5 Z2
CLK
CLEAR 14 13
Z1
CLK
CLR BO
11
LOAD CO
12 Z0
Figura 6.1
0
qi / Z1Z0
q0 / 00
0 1
e
qi / Z1Z0 q2 / 11 q1 / 01
1
x
Codificación de estados Y1 Y0
q0: 00 q1: 01 q2: 10
Cuestión 3
RESET qi
E/S
q0
0/0 1/0
qf
q1 q2
B2 B1 B0 S Correcto
0/0 1/0 0/0 1/0
0 0 0 0 No
0 0 1 1 Si
q3 q4 q5 q6
0 1 0 0 No
0/0 0/0 0/1 0/0 0 1 1 0 No
1/1 1/1 1/0 1/0 1 0 0 1 Si
q7 1 0 1 0 No
X/0 1 1 0 1 Si
1 1 1 0 No
Tabla 6.1
Se pide:
1) Complete la Tabla 6.1, indicando el valor de la salida y si el código es o no correcto
2) Modifique el grafo para que el proceso de detección sea continuo, es decir, que no sea
necesario pulsar en el RESET para comenzar de nuevo. Obtener el grafo más simplificado
posible.
Cuestión 4
En la Figura 5.1 se muestra el esquema de un sistema para persiana automatizada. Una máquina
de estados, M.E., controla dicho sistema mediante 2 señales de activación de los motores de
subida y de bajada de la persiana, MS y MB respectivamente, los cuales se activan
independientemente con un nivel alto. El usuario acciona un pulsador P, con 3 posiciones: subir,
bajar o parada, que incorpora un codificador para estas tres acciones, codificándose la orden en
P 1 P 0 . Por último, 2 dispositivos detectan la llegada de la persiana al final de recorrido de subida
o bajada, entregando una única señal, Fr, activa a nivel bajo al detectar el fin de recorrido en
cualquiera de ambos sentidos.
Al pulsar el botón de subida o de bajada se genera un nivel alto en el terminal del botón
correspondiente, y la persiana inicia el movimiento en el sentido seleccionado, aunque se
encuentre desplazándose en el sentido contrario. Se detiene en el momento en que el usuario
pulse el botón de parada, o si alcanza el final del recorrido. El sistema mecánico de los
pulsadores impide la activación de dos de ellos simultáneamente
Codif 4:2 P
STOP
I0
P1
I1 O1
STOP I2 P0
O0
I3
EN
Fr Ms
P1 M.E.
P0 Mb
clk
Figura 5.1 Esquema del automatismo para persiana. Izquierda: detalle del pulsador accionado por el usuario
2) A partir del grafo de la Figura 5.2 muestre la tabla de transición de estados codificada, las
funciones lógicas de excitación de los biestables tipo D y de salida, lo más simplificadas que
sea posible, e implemente el circuito correspondiente al grafo. Incluya el diseño del pulsador
de RST asíncrono, activo a nivel bajo, mostrado en el grafo. Emplee biestables con entradas
asíncronas de Clear y Preset activas a nivel bajo.
Cuestión 5
0 Notación a emplear:
0
(a) Exprese la tabla de transición de estados la tabla de transición codificada
correspondiente a este grafo.
(b) Obtenga las funciones combinacionales simplificadas necesarias para generar las
transiciones y las salidas, indicando claramente cuál es cada una de ellas
(c) Realice la implementación del circuito generador de código Gray, con biestables D con
entrada de CLR y PRST asíncronas, activas a nivel bajo.
Electrónica Digital
TEMA: 5
Síntesis de Sistemas Secuenciales
Solución de los ejercicios propuestos
Universidad de Alcalá Departamento de Electrónica
1 Ejercicios propuestos
2 Soluciones
Detector
X0 Secuencia Z0
CLK 010
tipo Moore
Estados:
• q0 no se ha recibido ningún 0
• q1 se ha recibido un 0
• q2 se ha recibido 01
• q3 se ha recibido 010
1 1
1
X0 1
0 0
t t+1
q /Z0 q /Z0 q0 / 0 q1 / 0 q2 / 0 q3 / 1
0 0
tipo Mealy
0/0
1/0
X0/Z0 0/0 1/0
t t+1
q q q0 q1 q2
1/0
0/1
Detector
X0 Paridad Z0
CLK nº 3 bits
Como nos dicen que la salida Z0 debe ponerse a 1 en el momento de llegar el tercer bit si el
número de 1’s es par, tenemos que implementar una máquina de Mealy (con una máquina
de Moore, la salida se pondría a 1 con el primer flanco activo de reloj tras la llegada del
tercer bit).
tipo Mealy
X0/Z0
qt qt+1
(par) (par)
(impar) (impar)