You are on page 1of 113

Loading db file '/pd_libs/saed32hvt_ss0p95v125c.

db'
Loading db file '/pd_libs/saed32hvt_ff1p16v25c.db'
Loading db file '/pd_libs/saed32rvt_ss0p95v125c.db'
Loading db file '/pd_libs/saed32rvt_ff1p16v25c.db'
Loading db file '/pd_libs/saed32lvt_ss0p95v125c.db'
Loading db file '/pd_libs/saed32lvt_ff1p16v25c.db'
Loading db file
'/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ss0p95v125c.db'
Loading db file
'/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ff1p16v25c.db'
Loading db file
'/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ss0p95v125c_2p25v.db'
Loading db file
'/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ff1p16v25c_2p75v.db'
icc_shell> create_mw_lib -technology
/tools/libraries/28nm/SAED32_EDK/tech/milkyway/saed32nm_1p9m_mw.tf -bus_naming_style
{[%d]} -mw_reference_library {
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_rvt/milkyway/saed32nm_rvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_lvt/milkyway/saed32nm_lvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_hvt/milkyway/saed32nm_hvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/sram_lp/milkyway/saed32sram_lp
/tools/libraries/28nm/SAED32_EDK/lib/pll/milkyway/SAED32_PLL_FR/
/tools/libraries/28nm/SAED32_EDK/lib/sram/milkyway/SRAM32NM} -open mwdb/dtmf_mw_lib
Error: Library 'mwdb/dtmf_mw_lib' already exists. (MWUI-004)
0
icc_shell> create_mw_lib -technology
/tools/libraries/28nm/SAED32_EDK/tech/milkyway/saed32nm_1p9m_mw.tf -bus_naming_style
{[%d]} -mw_reference_library {
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_rvt/milkyway/saed32nm_rvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_lvt/milkyway/saed32nm_lvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_hvt/milkyway/saed32nm_hvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/sram_lp/milkyway/saed32sram_lp
/tools/libraries/28nm/SAED32_EDK/lib/pll/milkyway/SAED32_PLL_FR/
/tools/libraries/28nm/SAED32_EDK/lib/sram/milkyway/SRAM32NM} -open
mwdb/dtmf_mw_lib1
Start to load technology file
/tools/libraries/28nm/SAED32_EDK/tech/milkyway/saed32nm_1p9m_mw.tf.
Warning: Layer 'M1' has a pitch 0.152 that does not match the recommended wire-to-via pitch 0.13
or 0.105. (TFCHK-049)
Warning: Layer 'M2' has a pitch 0.152 that does not match the recommended wire-to-via pitch
0.139. (TFCHK-049)
Warning: Layer 'M3' has a pitch 0.304 that does not match the recommended wire-to-via pitch
0.139. (TFCHK-049)
Warning: Layer 'M4' has a pitch 0.304 that does not match the recommended wire-to-via pitch
0.139. (TFCHK-049)
Warning: Layer 'M5' has a pitch 0.608 that does not match the recommended wire-to-via pitch
0.139. (TFCHK-049)
Warning: Layer 'M6' has a pitch 0.608 that does not match the recommended wire-to-via pitch
0.139. (TFCHK-049)
Warning: Layer 'M7' has a pitch 1.216 that does not match the recommended wire-to-via pitch
0.139. (TFCHK-049)
Warning: Layer 'M8' has a pitch 1.216 that does not match the recommended wire-to-via pitch
0.179 or 0.164. (TFCHK-049)
Warning: Layer 'M9' has a pitch 2.432 that does not match the recommended wire-to-via pitch 1.74.
(TFCHK-049)
Warning: Layer 'MRDL' has a pitch 4.864 that does not match the recommended wire-to-via pitch
4.5. (TFCHK-049)
Warning: Layer 'MRDL' has a pitch 4.864 that does not match the doubled pitch 2.432 or tripled
pitch 3.648. (TFCHK-050)
Warning: CapModel sections are missing. Capacitance models should be loaded with a TLU+ file
later. (TFCHK-084)
Technology file /tools/libraries/28nm/SAED32_EDK/tech/milkyway/saed32nm_1p9m_mw.tf has
been loaded successfully.
{dtmf_mw_lib1}
icc_shell> set_tlu_plus_files -max_tluplus
/tools/libraries/28nm/SAED32_EDK/tech/star_rcxt/saed32nm_1p9m_Cmax.tluplus -min_tluplus
/tools/libraries/28nm/SAED32_EDK/tech/star_rcxt/saed32nm_1p9m_Cmin.tluplus
-tech2itf_map /tools/libraries/28nm/SAED32_EDK/tech/star_rcxt/saed32nm_tf_itf_tluplus.map
1
icc_shell>
icc_shell> import_designs -format verilog -cel dtmf_recvr_core -top dtmf_recvr_core
inputs/dtmf_recvr_core.vg
Warning: Unit conflict found: Milkyway technology file resistance unit is kOhm; main library
resistance unit is MOhm. (IFS-007)
Warning: Unit conflict found: Milkyway technology file power unit is pW; main library power unit
is uW. (IFS-007)
Type of creating bus for undefined cells : 0
Warning: /tools/libraries/28nm/SAED32_EDK/lib/stdcell_rvt/milkyway/saed32nm_rvt_1p9m: bus
naming style _<%d> is not consistent with main lib. (MWNL-111)

***** Verilog HDL translation! *****

***** Start Pass 1 *****


Warning: Lineno 9442, change net type from TRI to Wire. (MWNL-117)
Warning: Lineno 9443, change net type from TRI to Wire. (MWNL-117)

***** Pass 1 Complete *****


Elapsed = 0:00:00, CPU = 0:00:00

***** Verilog HDL translation! *****

***** Start Pass 2 *****


Warning: Lineno 9442, change net type from TRI to Wire. (MWNL-117)
Warning: Lineno 9443, change net type from TRI to Wire. (MWNL-117)

***** Pass 2 Complete *****

***** Verilog HDL translation completed! *****


Elapsed = 0:00:00, CPU = 0:00:00
Hierarchy Preservation is turned ON
The quick-attach skip-search mode has been turned on.
Start axu naming escaping style change ...
INFO: net in module m16x16_DW01_add_0 renamed from \A[0] to A[0]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[1] to A[1]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[2] to A[2]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[3] to A[3]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[4] to A[4]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[5] to A[5]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[6] to A[6]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[7] to A[7]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[8] to A[8]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[9] to A[9]1 because of name conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[10] to A[10]1 because of name
conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[11] to A[11]1 because of name
conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[12] to A[12]1 because of name
conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[13] to A[13]1 because of name
conflict
INFO: net in module m16x16_DW01_add_0 renamed from \A[14] to A[14]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \port_addrs_in[0] to port_addrs_in[0]1 because
of name conflict
INFO: net in module tdsp_core_glue renamed from \data_out[15] to data_out[15]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \ir[10] to ir[10]1 because of name conflict
INFO: net in module tdsp_core_glue renamed from \data_out[0] to data_out[0]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[1] to data_out[1]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[2] to data_out[2]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[3] to data_out[3]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[4] to data_out[4]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[5] to data_out[5]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[6] to data_out[6]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[7] to data_out[7]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[8] to data_out[8]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[9] to data_out[9]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[10] to data_out[10]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[11] to data_out[11]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[12] to data_out[12]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[13] to data_out[13]1 because of name
conflict
INFO: net in module tdsp_core_glue renamed from \data_out[14] to data_out[14]1 because of name
conflict
INFO: net in module tdsp_ds_cs renamed from \address[0] to address[0]1 because of name conflict
INFO: net in module tdsp_ds_cs renamed from \address[1] to address[1]1 because of name conflict
INFO: net in module tdsp_ds_cs renamed from \address[2] to address[2]1 because of name conflict
INFO: net in module tdsp_ds_cs renamed from \address[3] to address[3]1 because of name conflict
INFO: net in module tdsp_ds_cs renamed from \address[4] to address[4]1 because of name conflict
INFO: net in module tdsp_ds_cs renamed from \address[5] to address[5]1 because of name conflict
INFO: net in module tdsp_ds_cs renamed from \address[6] to address[6]1 because of name conflict
End axu naming escaping style change, status is 1
Checking single pin net for cell 'dtmf_recvr_core.CEL' now...
Total number of cell instances: 5658
Total number of nets: 6323
Total number of ports: 67 (include 3 PG ports)
Total number of hierarchical cell instances: 75

The quick-attach skip-search mode has been turned off.


INFO: total find 0 pg nets connected with tie net.
Elapsed = 0:00:01, CPU = 0:00:00
Information: Read verilog completed successfully.
1
icc_shell> set current_design dtmf_recvr_core
Loading db file '/tools/synopsys/installers/icc/libraries/syn/gtech.db'
Loading db file '/tools/synopsys/installers/icc/libraries/syn/standard.sldb'
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_rvt/milkyway/saed32nm_rvt_1p9m. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_lvt/milkyway/saed32nm_lvt_1p9m. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_hvt/milkyway/saed32nm_hvt_1p9m. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/sram_lp/milkyway/saed32sram_lp. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/pll/milkyway/SAED32_PLL_FR. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/sram/milkyway/SRAM32NM. (PSYN-878)

Linking design 'dtmf_recvr_core'


Using the following designs and libraries:
--------------------------------------------------------------------------
* (75 designs) dtmf_recvr_core.CEL, etc
saed32lvt_ss0p95v125c (library) /pd_libs/saed32lvt_ss0p95v125c.db
saed32rvt_ss0p95v125c (library) /pd_libs/saed32rvt_ss0p95v125c.db
saed32pll_ss0p95v125c_2p25v (library)
/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ss0p95v125c_2p25v.db
saed32sram_ss0p95v125c (library)
/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ss0p95v125c.db
saed32hvt_ss0p95v125c (library) /pd_libs/saed32hvt_ss0p95v125c.db

Warning: Reference PLL contains internal pins with clock attribute. (TIM-103)
dtmf_recvr_core
icc_shell> link_physical_library1
Error: unknown command 'link_physical_library1' (CMD-005)
icc_shell> link_physical_library1
Error: unknown command 'link_physical_library1' (CMD-005)
icc_shell> link_physical_library1
Error: unknown command 'link_physical_library1' (CMD-005)
icc_shell> link_physical_library
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_rvt/milkyway/saed32nm_rvt_1p9m. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_lvt/milkyway/saed32nm_lvt_1p9m. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_hvt/milkyway/saed32nm_hvt_1p9m. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/sram_lp/milkyway/saed32sram_lp. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/pll/milkyway/SAED32_PLL_FR. (PSYN-878)
Information: linking reference library :
/tools/libraries/28nm/SAED32_EDK/lib/sram/milkyway/SRAM32NM. (PSYN-878)
1
icc_shell> link
Linking design 'dtmf_recvr_core'
Using the following designs and libraries:
--------------------------------------------------------------------------
* (75 designs) dtmf_recvr_core.CEL, etc
saed32lvt_ss0p95v125c (library) /pd_libs/saed32lvt_ss0p95v125c.db
saed32rvt_ss0p95v125c (library) /pd_libs/saed32rvt_ss0p95v125c.db
saed32pll_ss0p95v125c_2p25v (library)
/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ss0p95v125c_2p25v.db
saed32sram_ss0p95v125c (library)
/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ss0p95v125c.db
saed32hvt_ss0p95v125c (library) /pd_libs/saed32hvt_ss0p95v125c.db

1
icc_shell> read_sdc inputs/dtmf_recvr_core.sdc
Info: hierarchy_separator was changed to /

Reading SDC version 2.0...


Current design is 'dtmf_recvr_core'.
Current design is 'dtmf_recvr_core'.
Info: hierarchy_separator was changed to /
1
icc_shell> sh scripts/create_path_groups.tcl
Error: scripts/create_path_groups.tcl: line 2: group_path: command not found
scripts/create_path_groups.tcl: line 3: group_path: command not found
scripts/create_path_groups.tcl: line 4: group_path: command not found
scripts/create_path_groups.tcl: line 5: group_path: command not found
scripts/create_path_groups.tcl: line 6: group_path: command not found
Use error_info for more info. (CMD-013)
icc_shell> source scripts/create_path_groups.tcl
Floorplan loading succeeded.
Information: Updating graph... (UID-83)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U10'
for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U10'
for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U11'
for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U11'
for the clock pin 'A2'. (TIM-128)
Warning: Gated clock latch is not created for cell 'TEST_CONTROL_INST/U6'on pin 'A2' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U6' for the clock pin 'A1'. (TIM-128)
Warning: Gated clock latch is not created for cell 'TEST_CONTROL_INST/U4'on pin 'A2' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U4' for the clock pin 'A1'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U2' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U2' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U2' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U3' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U3' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U3' for the clock pin 'A2'. (TIM-128)
Warning: Gated clock latch is not created for cell 'TEST_CONTROL_INST/U4'on pin 'A1' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U4' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U5' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U5' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U10'
for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U10'
for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U11'
for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell 'TDSP_DS_CS_INST/U11'
for the clock pin 'A2'. (TIM-128)
Warning: Gated clock latch is not created for cell 'SPI_INST/present_state_reg[1]'on pin 'D' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: Gated clock latch is not created for cell 'SPI_INST/present_state_reg[2]'on pin 'D' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: Gated clock latch is not created for cell 'SPI_INST/present_state_reg[0]'on pin 'D' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: Gated clock latch is not created for cell 'TEST_CONTROL_INST/U6'on pin 'A1' in
design 'dtmf_recvr_core'. (TIM-141)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U6' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U7' for the clock pin 'A2'. (TIM-128)
Warning: No controlling value could be found for the clock gating cell
'TEST_CONTROL_INST/U7' for the clock pin 'A2'. (TIM-128)
Warning: Clock port 'p_clk' is assigned input delay relative to clock 'refclk'. (TIM-111)
Warning: Clock port 'p_clk' is assigned input delay relative to clock 'refclk'. (TIM-111)
Warning: Clock port 'scan_clk' is assigned input delay relative to clock 'refclk'. (TIM-111)
Warning: Clock port 'scan_clk' is assigned input delay relative to clock 'refclk'. (TIM-111)
1
icc_shell> sh xterm &
966
icc_shell> sh gvim scripts/create_path_groups.tcl &
1817
icc_shell> save_mw_lib
Error: unknown command 'save_mw_lib' (CMD-005)
icc_shell> save_mw_cel
Information: Performing CEL netlist consistency check. (MWDC-118)
Information: CEL consistency check PASSED. (MWDC-119)
Information: Saved design named dtmf_recvr_core. (UIG-5)
1
icc_shell> save_mw_lib
Error: unknown command 'save_mw_lib' (CMD-005)
icc_shell> check_library

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32hvt_ss0p95v125c
File name /pd_libs/saed32hvt_ss0p95v125c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:09 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32hvt_ss0p95v125c):
Power management cell checking passed.

#END_LIBSCREEN_UPF

#BEGIN_XCHECK_LOGICCELLS
#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 294)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32hvt_ff1p16v25c
File name /pd_libs/saed32hvt_ff1p16v25c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:09 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32hvt_ff1p16v25c):
Power management cell checking passed.

#END_LIBSCREEN_UPF

#BEGIN_XCHECK_LOGICCELLS
#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 294)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32rvt_ss0p95v125c
File name /pd_libs/saed32rvt_ss0p95v125c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:10 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32rvt_ss0p95v125c):
Power management cell checking passed.

#END_LIBSCREEN_UPF

#BEGIN_XCHECK_LOGICCELLS
#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 294)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32rvt_ff1p16v25c
File name /pd_libs/saed32rvt_ff1p16v25c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:10 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32rvt_ff1p16v25c):
Power management cell checking passed.

#END_LIBSCREEN_UPF

#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS
#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 294)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32lvt_ss0p95v125c
File name /pd_libs/saed32lvt_ss0p95v125c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:10 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32lvt_ss0p95v125c):
Power management cell checking passed.

#END_LIBSCREEN_UPF

#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS
#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 294)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32lvt_ff1p16v25c
File name /pd_libs/saed32lvt_ff1p16v25c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:11 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32lvt_ff1p16v25c):
Power management cell checking passed.

#END_LIBSCREEN_UPF

#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS
#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 294)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32sram_ss0p95v125c
File name
/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ss0p95v125c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:11 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32sram_ss0p95v125c):
PG checking passed.
No power management cells in library#1.

#END_LIBSCREEN_UPF

Information: List of cell classification (LIBCHK-312)


------------------------------------------------------------------------------
Library name saed32sram_ss0p95v125c(lib#1)
------------------------------------------------------------------------------
Total number 35
Inverter 0
Buffer 0
Level shifter 0
Differential level shifter 0
Isolation cell 0
Clock Isolation cell 0
Retention cell 0
Switch cell 0
Always on cell 0
------------------------------------------------------------------------------
#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 35)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32sram_ff1p16v25c
File name
/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ff1p16v25c.db
Library type pg_pin based db
Library Version 1.0000000
Tool Created F-2011.09-SP1
Data Created [2010 APRIL 28]
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1pW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:12 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32sram_ff1p16v25c):
PG checking passed.
No power management cells in library#1.

#END_LIBSCREEN_UPF

Information: List of cell classification (LIBCHK-312)


------------------------------------------------------------------------------
Library name saed32sram_ff1p16v25c(lib#1)
------------------------------------------------------------------------------
Total number 35
Inverter 0
Buffer 0
Level shifter 0
Differential level shifter 0
Isolation cell 0
Clock Isolation cell 0
Retention cell 0
Switch cell 0
Always on cell 0
------------------------------------------------------------------------------
#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 35)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY
Checking library or object saed32pll_ss0p95v125c_2p25v ...

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32pll_ss0p95v125c_2p25v
File name
/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ss0p95v125c_2p25v.db
Library type pg_pin based db
Library Version Not Specified
Tool Created F-2011.09-SP1
Data Created Not Specified
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1uW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:12 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32pll_ss0p95v125c_2p25v):
PG checking passed.
No power management cells in library#1.

#END_LIBSCREEN_UPF

Information: List of cell classification (LIBCHK-312)


------------------------------------------------------------------------------
Library name saed32pll_ss0p95v125c_2p25v(lib#1)
------------------------------------------------------------------------------
Total number 1
Inverter 0
Buffer 0
Level shifter 0
Differential level shifter 0
Isolation cell 0
Clock Isolation cell 0
Retention cell 0
Switch cell 0
Always on cell 0
------------------------------------------------------------------------------
#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS
#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 1)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

Checking library or object saed32pll_ff1p16v25c_2p75v ...

#BEGIN_XCHECK_LIBRARY

Logic Library #1:


Library name saed32pll_ff1p16v25c_2p75v
File name
/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ff1p16v25c_2p75v.db
Library type pg_pin based db
Library Version Not Specified
Tool Created F-2011.09-SP1
Data Created Not Specified
Time unit 1ns
Capacitance unit 1ff
Leakage power unit 1uW
Current unit 1uA
check_library options
Version M-2017.06-SP1
Check date and time Thu Aug 9 16:10:12 2018

#BEGIN_LIBSCREEN_UPF

Library#1 (saed32pll_ff1p16v25c_2p75v):
PG checking passed.
No power management cells in library#1.

#END_LIBSCREEN_UPF

Information: List of cell classification (LIBCHK-312)


------------------------------------------------------------------------------
Library name saed32pll_ff1p16v25c_2p75v(lib#1)
------------------------------------------------------------------------------
Total number 1
Inverter 0
Buffer 0
Level shifter 0
Differential level shifter 0
Isolation cell 0
Clock Isolation cell 0
Retention cell 0
Switch cell 0
Always on cell 0
------------------------------------------------------------------------------
#BEGIN_XCHECK_LOGICCELLS

#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_LOGICPINS

#END_XCHECK_LOGICPINS

#BEGIN_XCHECK_LOGICPGPINS

Number of cells missing pg_pins in library 1: 0 (out of 1)

#END_XCHECK_LOGICPGPINS

#BEGIN_XCHECK_ARCS

#END_XCHECK_ARCS

#END_XCHECK_LIBRARY

Memory usage for this session 63 Mbytes.


CPU usage for this session 4 seconds ( 0.00 hours ).

Thank you...

#BEGIN_XCHECK_LIBRARY

Logic Library: saed32lvt_ss0p95v125c


saed32rvt_ss0p95v125c
saed32pll_ss0p95v125c_2p25v
saed32sram_ss0p95v125c
saed32hvt_ss0p95v125c
Physical Library:
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_rvt/milkyway/saed32nm_rvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_lvt/milkyway/saed32nm_lvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/stdcell_hvt/milkyway/saed32nm_hvt_1p9m
/tools/libraries/28nm/SAED32_EDK/lib/sram_lp/milkyway/saed32sram_lp
/tools/libraries/28nm/SAED32_EDK/lib/pll/milkyway/SAED32_PLL_FR
/tools/libraries/28nm/SAED32_EDK/lib/sram/milkyway/SRAM32NM
check_library options:
Version: M-2016.12-SP5-4
Check date and time: Thu Aug 9 16:10:13 2018

List of logic library and file names


------------------------------------------------------------------------------
Logic library name Logic library file name
------------------------------------------------------------------------------
saed32lvt_ss0p95v125c /pd_libs/saed32lvt_ss0p95v125c.db
saed32rvt_ss0p95v125c /pd_libs/saed32rvt_ss0p95v125c.db
saed32pll_ss0p95v125c_2p25v
/tools/libraries/28nm/SAED32_EDK/lib/pll/db_nldm/saed32pll_ss0p95v125c_2p25v.db
saed32sram_ss0p95v125c
/tools/libraries/28nm/SAED32_EDK/lib/sram/db_nldm/saed32sram_ss0p95v125c.db
saed32hvt_ss0p95v125c /pd_libs/saed32hvt_ss0p95v125c.db
------------------------------------------------------------------------------

#BEGIN_XCHECK_LOGICCELLS

Number of cells missing in logic library: 204 (out of 918)

Information: List of cells missing in logic library (LIBCHK-210)


-------------------------------------------------------------------------
Cell name Cell type Physical library
-------------------------------------------------------------------------
LSUPX1_RVT Core saed32nm_rvt_1p9m
LSUPX2_RVT Core saed32nm_rvt_1p9m
LSUPX4_RVT Core saed32nm_rvt_1p9m
LSUPX8_RVT Core saed32nm_rvt_1p9m
LSDNSSX8_RVT Core saed32nm_rvt_1p9m
LSDNX1_RVT Core saed32nm_rvt_1p9m
LSDNX2_RVT Core saed32nm_rvt_1p9m
LSDNX4_RVT Core saed32nm_rvt_1p9m
LSDNX8_RVT Core saed32nm_rvt_1p9m
LSUPENCLX1_RVT Core saed32nm_rvt_1p9m
LSUPENCLX2_RVT Core saed32nm_rvt_1p9m
LSUPENCLX4_RVT Core saed32nm_rvt_1p9m
LSUPENCLX8_RVT Core saed32nm_rvt_1p9m
LSUPENX1_RVT Core saed32nm_rvt_1p9m
LSUPENX2_RVT Core saed32nm_rvt_1p9m
LSUPENX4_RVT Core saed32nm_rvt_1p9m
LSUPENX8_RVT Core saed32nm_rvt_1p9m
LSDNENCLX4_RVT Core saed32nm_rvt_1p9m
LSDNENCLX8_RVT Core saed32nm_rvt_1p9m
LSDNENSSX1_RVT Core saed32nm_rvt_1p9m
LSDNENSSX2_RVT Core saed32nm_rvt_1p9m
LSDNENSSX4_RVT Core saed32nm_rvt_1p9m
LSDNENSSX8_RVT Core saed32nm_rvt_1p9m
LSDNENX1_RVT Core saed32nm_rvt_1p9m
LSDNENX2_RVT Core saed32nm_rvt_1p9m
LSDNENX4_RVT Core saed32nm_rvt_1p9m
LSDNENX8_RVT Core saed32nm_rvt_1p9m
LSDNSSX1_RVT Core saed32nm_rvt_1p9m
LSDNSSX2_RVT Core saed32nm_rvt_1p9m
LSDNSSX4_RVT Core saed32nm_rvt_1p9m
LSDNENCLSSX1_RVT Core saed32nm_rvt_1p9m
LSDNENCLSSX2_RVT Core saed32nm_rvt_1p9m
LSDNENCLSSX4_RVT Core saed32nm_rvt_1p9m
LSDNENCLSSX8_RVT Core saed32nm_rvt_1p9m
LSDNENCLX1_RVT Core saed32nm_rvt_1p9m
LSDNENCLX2_RVT Core saed32nm_rvt_1p9m
HEADX2_RVT Core saed32nm_rvt_1p9m
HEADX32_RVT Core saed32nm_rvt_1p9m
HEADX4_RVT Core saed32nm_rvt_1p9m
HEADX8_RVT Core saed32nm_rvt_1p9m
FOOTX16_RVT Core saed32nm_rvt_1p9m
FOOTX2_RVT Core saed32nm_rvt_1p9m
FOOTX32_RVT Core saed32nm_rvt_1p9m
FOOTX4_RVT Core saed32nm_rvt_1p9m
FOOTX8_RVT Core saed32nm_rvt_1p9m
HEAD2X16_RVT Core saed32nm_rvt_1p9m
HEAD2X2_RVT Core saed32nm_rvt_1p9m
HEAD2X32_RVT Core saed32nm_rvt_1p9m
HEAD2X4_RVT Core saed32nm_rvt_1p9m
HEAD2X8_RVT Core saed32nm_rvt_1p9m
HEADX16_RVT Core saed32nm_rvt_1p9m
FOOT2X16_RVT Core saed32nm_rvt_1p9m
FOOT2X2_RVT Core saed32nm_rvt_1p9m
FOOT2X32_RVT Core saed32nm_rvt_1p9m
FOOT2X4_RVT Core saed32nm_rvt_1p9m
FOOT2X8_RVT Core saed32nm_rvt_1p9m
LSUPX1_LVT Core saed32nm_lvt_1p9m
LSUPX2_LVT Core saed32nm_lvt_1p9m
LSUPX4_LVT Core saed32nm_lvt_1p9m
LSUPX8_LVT Core saed32nm_lvt_1p9m
LSDNSSX8_LVT Core saed32nm_lvt_1p9m
LSDNX1_LVT Core saed32nm_lvt_1p9m
LSDNX2_LVT Core saed32nm_lvt_1p9m
LSDNX4_LVT Core saed32nm_lvt_1p9m
LSDNX8_LVT Core saed32nm_lvt_1p9m
LSUPENCLX1_LVT Core saed32nm_lvt_1p9m
LSUPENCLX2_LVT Core saed32nm_lvt_1p9m
LSUPENCLX4_LVT Core saed32nm_lvt_1p9m
LSUPENCLX8_LVT Core saed32nm_lvt_1p9m
LSUPENX1_LVT Core saed32nm_lvt_1p9m
LSUPENX2_LVT Core saed32nm_lvt_1p9m
LSUPENX4_LVT Core saed32nm_lvt_1p9m
LSUPENX8_LVT Core saed32nm_lvt_1p9m
LSDNENCLX4_LVT Core saed32nm_lvt_1p9m
LSDNENCLX8_LVT Core saed32nm_lvt_1p9m
LSDNENSSX1_LVT Core saed32nm_lvt_1p9m
LSDNENSSX2_LVT Core saed32nm_lvt_1p9m
LSDNENSSX4_LVT Core saed32nm_lvt_1p9m
LSDNENSSX8_LVT Core saed32nm_lvt_1p9m
LSDNENX1_LVT Core saed32nm_lvt_1p9m
LSDNENX2_LVT Core saed32nm_lvt_1p9m
LSDNENX4_LVT Core saed32nm_lvt_1p9m
LSDNENX8_LVT Core saed32nm_lvt_1p9m
LSDNSSX1_LVT Core saed32nm_lvt_1p9m
LSDNSSX2_LVT Core saed32nm_lvt_1p9m
LSDNSSX4_LVT Core saed32nm_lvt_1p9m
LSDNENCLSSX1_LVT Core saed32nm_lvt_1p9m
LSDNENCLSSX2_LVT Core saed32nm_lvt_1p9m
LSDNENCLSSX4_LVT Core saed32nm_lvt_1p9m
LSDNENCLSSX8_LVT Core saed32nm_lvt_1p9m
LSDNENCLX1_LVT Core saed32nm_lvt_1p9m
LSDNENCLX2_LVT Core saed32nm_lvt_1p9m
HEADX2_LVT Core saed32nm_lvt_1p9m
HEADX32_LVT Core saed32nm_lvt_1p9m
HEADX4_LVT Core saed32nm_lvt_1p9m
HEADX8_LVT Core saed32nm_lvt_1p9m
FOOTX16_LVT Core saed32nm_lvt_1p9m
FOOTX2_LVT Core saed32nm_lvt_1p9m
FOOTX32_LVT Core saed32nm_lvt_1p9m
FOOTX4_LVT Core saed32nm_lvt_1p9m
FOOTX8_LVT Core saed32nm_lvt_1p9m
HEAD2X16_LVT Core saed32nm_lvt_1p9m
HEAD2X2_LVT Core saed32nm_lvt_1p9m
HEAD2X32_LVT Core saed32nm_lvt_1p9m
HEAD2X4_LVT Core saed32nm_lvt_1p9m
HEAD2X8_LVT Core saed32nm_lvt_1p9m
HEADX16_LVT Core saed32nm_lvt_1p9m
FOOT2X16_LVT Core saed32nm_lvt_1p9m
FOOT2X2_LVT Core saed32nm_lvt_1p9m
FOOT2X32_LVT Core saed32nm_lvt_1p9m
FOOT2X4_LVT Core saed32nm_lvt_1p9m
FOOT2X8_LVT Core saed32nm_lvt_1p9m
LSUPX1_HVT Core saed32nm_hvt_1p9m
LSUPX2_HVT Core saed32nm_hvt_1p9m
LSUPX4_HVT Core saed32nm_hvt_1p9m
LSUPX8_HVT Core saed32nm_hvt_1p9m
LSDNSSX8_HVT Core saed32nm_hvt_1p9m
LSDNX1_HVT Core saed32nm_hvt_1p9m
LSDNX2_HVT Core saed32nm_hvt_1p9m
LSDNX4_HVT Core saed32nm_hvt_1p9m
LSDNX8_HVT Core saed32nm_hvt_1p9m
LSUPENCLX1_HVT Core saed32nm_hvt_1p9m
LSUPENCLX2_HVT Core saed32nm_hvt_1p9m
LSUPENCLX4_HVT Core saed32nm_hvt_1p9m
LSUPENCLX8_HVT Core saed32nm_hvt_1p9m
LSUPENX1_HVT Core saed32nm_hvt_1p9m
LSUPENX2_HVT Core saed32nm_hvt_1p9m
LSUPENX4_HVT Core saed32nm_hvt_1p9m
LSUPENX8_HVT Core saed32nm_hvt_1p9m
LSDNENCLX4_HVT Core saed32nm_hvt_1p9m
LSDNENCLX8_HVT Core saed32nm_hvt_1p9m
LSDNENSSX1_HVT Core saed32nm_hvt_1p9m
LSDNENSSX2_HVT Core saed32nm_hvt_1p9m
LSDNENSSX4_HVT Core saed32nm_hvt_1p9m
LSDNENSSX8_HVT Core saed32nm_hvt_1p9m
LSDNENX1_HVT Core saed32nm_hvt_1p9m
LSDNENX2_HVT Core saed32nm_hvt_1p9m
LSDNENX4_HVT Core saed32nm_hvt_1p9m
LSDNENX8_HVT Core saed32nm_hvt_1p9m
LSDNSSX1_HVT Core saed32nm_hvt_1p9m
LSDNSSX2_HVT Core saed32nm_hvt_1p9m
LSDNSSX4_HVT Core saed32nm_hvt_1p9m
LSDNENCLSSX1_HVT Core saed32nm_hvt_1p9m
LSDNENCLSSX2_HVT Core saed32nm_hvt_1p9m
LSDNENCLSSX4_HVT Core saed32nm_hvt_1p9m
LSDNENCLSSX8_HVT Core saed32nm_hvt_1p9m
LSDNENCLX1_HVT Core saed32nm_hvt_1p9m
LSDNENCLX2_HVT Core saed32nm_hvt_1p9m
HEADX2_HVT Core saed32nm_hvt_1p9m
HEADX32_HVT Core saed32nm_hvt_1p9m
HEADX4_HVT Core saed32nm_hvt_1p9m
HEADX8_HVT Core saed32nm_hvt_1p9m
FOOTX16_HVT Core saed32nm_hvt_1p9m
FOOTX2_HVT Core saed32nm_hvt_1p9m
FOOTX32_HVT Core saed32nm_hvt_1p9m
FOOTX4_HVT Core saed32nm_hvt_1p9m
FOOTX8_HVT Core saed32nm_hvt_1p9m
HEAD2X16_HVT Core saed32nm_hvt_1p9m
HEAD2X2_HVT Core saed32nm_hvt_1p9m
HEAD2X32_HVT Core saed32nm_hvt_1p9m
HEAD2X4_HVT Core saed32nm_hvt_1p9m
HEAD2X8_HVT Core saed32nm_hvt_1p9m
HEADX16_HVT Core saed32nm_hvt_1p9m
FOOT2X16_HVT Core saed32nm_hvt_1p9m
FOOT2X2_HVT Core saed32nm_hvt_1p9m
FOOT2X32_HVT Core saed32nm_hvt_1p9m
FOOT2X4_HVT Core saed32nm_hvt_1p9m
FOOT2X8_HVT Core saed32nm_hvt_1p9m
SRAMLP1RW128x48 Unknown saed32sram_lp
SRAMLP1RW256x8 Unknown saed32sram_lp
SRAMLP1RW256x32 Unknown saed32sram_lp
SRAMLP1RW256x46 Unknown saed32sram_lp
SRAMLP1RW256x48 Unknown saed32sram_lp
SRAMLP1RW256x128 Unknown saed32sram_lp
SRAMLP1RW512x8 Unknown saed32sram_lp
SRAMLP1RW512x32 Unknown saed32sram_lp
SRAMLP1RW512x128 Unknown saed32sram_lp
SRAMLP1RW1024x8 Unknown saed32sram_lp
SRAMLP2RW16x4 Unknown saed32sram_lp
SRAMLP2RW16x8 Unknown saed32sram_lp
SRAMLP2RW16x16 Unknown saed32sram_lp
SRAMLP2RW16x32 Unknown saed32sram_lp
unitTile Unknown saed32sram_lp
SRAMLP2RW32x4 Unknown saed32sram_lp
SRAMLP2RW32x8 Unknown saed32sram_lp
SRAMLP2RW32x16 Unknown saed32sram_lp
SRAMLP2RW32x22 Unknown saed32sram_lp
SRAMLP2RW32x32 Unknown saed32sram_lp
SRAMLP2RW32x39 Unknown saed32sram_lp
SRAMLP2RW64x4 Unknown saed32sram_lp
SRAMLP2RW64x8 Unknown saed32sram_lp
SRAMLP2RW64x16 Unknown saed32sram_lp
SRAMLP2RW64x32 Unknown saed32sram_lp
SRAMLP2RW128x4 Unknown saed32sram_lp
SRAMLP2RW128x8 Unknown saed32sram_lp
SRAMLP2RW128x16 Unknown saed32sram_lp
SRAMLP2RW128x32 Unknown saed32sram_lp
SRAMLP1RW32x50 Unknown saed32sram_lp
SRAMLP1RW64x8 Unknown saed32sram_lp
SRAMLP1RW64x32 Unknown saed32sram_lp
SRAMLP1RW64x34 Unknown saed32sram_lp
SRAMLP1RW64x128 Unknown saed32sram_lp
SRAMLP1RW128x8 Unknown saed32sram_lp
SRAMLP1RW128x46 Unknown saed32sram_lp
-------------------------------------------------------------------------

#END_XCHECK_LOGICCELLS

#BEGIN_XCHECK_PHYSICALCELLS

Number of cells missing in physical library: 0 (out of 1122)

#END_XCHECK_PHYSICALCELLS

#BEGIN_XCHECK_PINS

Number of cells with missing or mismatched pins in libraries: 0

#END_XCHECK_PINS

#BEGIN_XCHECK_CELLANTENNADIODETYPE

Number of cells with inconsistent antenna_diode_type: 0

#END_XCHECK_CELLANTENNADIODETYPE

Logic vs. physical library check summary:


Number of cells missing in logic library: 204
Information: Logic library is INCONSISTENT with physical library (LIBCHK-220)

#END_XCHECK_LIBRARY
0
icc_shell> check_design

****************************************
check_design summary:
Version: M-2016.12-SP5-4
Date: Thu Aug 9 16:11:20 2018
****************************************

Name Total
--------------------------------------------------------------------------------
Inputs/Outputs 917
Undriven outputs (LINT-5) 505
Unloaded inputs (LINT-8) 162
Feedthrough (LINT-29) 174
Shorted outputs (LINT-31) 52
Constant outputs (LINT-52) 24

Cells 152
Cells do not drive (LINT-1) 1
Connected to power or ground (LINT-32) 118
Nets connected to multiple pins on same cell (LINT-33) 33

Nets 1226
Unloaded nets (LINT-2) 721
Undriven nets (LINT-3) 505
--------------------------------------------------------------------------------

Warning: In design 'dtmf_recvr_core', cell 'PM_INST' does not drive any nets. (LINT-1)
Warning: In design 'dtmf_recvr_core', net 'scan_in[0]' driven by pin 'scan_in[0]' has no loads.
(LINT-2)
Warning: In design 'dtmf_recvr_core', net 'scan_in[1]' driven by pin 'scan_in[1]' has no loads.
(LINT-2)
Warning: In design 'dtmf_recvr_core', net 'scan_in[2]' driven by pin 'scan_in[2]' has no loads.
(LINT-2)
Warning: In design 'dtmf_recvr_core', net 'scan_en' driven by pin 'scan_en' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'ibias' driven by pin 'ibias' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_DS_CS_INST/port_read' driven by pin
'TDSP_DS_CS_INST/port_read' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_DS_CS_INST/port_write' driven by pin
'TDSP_DS_CS_INST/port_write' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/t_sdi[2]' driven by pin
'TDSP_CORE_INST/t_sdi[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/t_sdi[1]' driven by pin
'TDSP_CORE_INST/t_sdi[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/t_sdi[0]' driven by pin
'TDSP_CORE_INST/t_sdi[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_MUX/t_read' driven by pin 'TDSP_MUX/t_read'
has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'unused_clk' driven by pin 'PLLCLK_INST/CLK_4X' has
no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__71' driven by pin
'RAM_256x16_TEST_INST_2/O[34]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__66' driven by pin
'RAM_256x16_TEST_INST_2/O[39]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__80' driven by pin
'RAM_256x16_TEST_INST_2/O[25]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__78' driven by pin
'RAM_256x16_TEST_INST_2/O[27]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__70' driven by pin
'RAM_256x16_TEST_INST_2/O[35]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__86' driven by pin
'RAM_256x16_TEST_INST_2/O[19]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__76' driven by pin
'RAM_256x16_TEST_INST_2/O[29]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__82' driven by pin
'RAM_256x16_TEST_INST_2/O[23]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__68' driven by pin
'RAM_256x16_TEST_INST_2/O[37]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__61' driven by pin
'RAM_256x16_TEST_INST_2/O[44]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__81' driven by pin
'RAM_256x16_TEST_INST_2/O[24]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__84' driven by pin
'RAM_256x16_TEST_INST_2/O[21]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__83' driven by pin
'RAM_256x16_TEST_INST_2/O[22]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__60' driven by pin
'RAM_256x16_TEST_INST_2/O[45]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__63' driven by pin
'RAM_256x16_TEST_INST_2/O[42]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__72' driven by pin
'RAM_256x16_TEST_INST_2/O[33]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__67' driven by pin
'RAM_256x16_TEST_INST_2/O[38]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__74' driven by pin
'RAM_256x16_TEST_INST_2/O[31]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__85' driven by pin
'RAM_256x16_TEST_INST_2/O[20]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__62' driven by pin
'RAM_256x16_TEST_INST_2/O[43]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__59' driven by pin
'RAM_256x16_TEST_INST_1/O[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__57' driven by pin
'RAM_256x16_TEST_INST_1/O[18]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__45' driven by pin
'RAM_256x16_TEST_INST_1/O[30]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__35' driven by pin
'RAM_256x16_TEST_INST_1/O[40]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__43' driven by pin
'RAM_256x16_TEST_INST_1/O[32]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__34' driven by pin
'RAM_256x16_TEST_INST_1/O[41]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__49' driven by pin
'RAM_256x16_TEST_INST_1/O[26]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__58' driven by pin
'RAM_256x16_TEST_INST_1/O[17]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__47' driven by pin
'RAM_256x16_TEST_INST_1/O[28]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__39' driven by pin
'RAM_256x16_TEST_INST_1/O[36]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__41' driven by pin
'RAM_256x16_TEST_INST_1/O[34]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__36' driven by pin
'RAM_256x16_TEST_INST_1/O[39]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__50' driven by pin
'RAM_256x16_TEST_INST_1/O[25]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__48' driven by pin
'RAM_256x16_TEST_INST_1/O[27]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__40' driven by pin
'RAM_256x16_TEST_INST_1/O[35]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__56' driven by pin
'RAM_256x16_TEST_INST_1/O[19]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__46' driven by pin
'RAM_256x16_TEST_INST_1/O[29]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__52' driven by pin
'RAM_256x16_TEST_INST_1/O[23]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__38' driven by pin
'RAM_256x16_TEST_INST_1/O[37]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__31' driven by pin
'RAM_256x16_TEST_INST_1/O[44]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__51' driven by pin
'RAM_256x16_TEST_INST_1/O[24]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__54' driven by pin
'RAM_256x16_TEST_INST_1/O[21]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__53' driven by pin
'RAM_256x16_TEST_INST_1/O[22]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__30' driven by pin
'RAM_256x16_TEST_INST_1/O[45]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__33' driven by pin
'RAM_256x16_TEST_INST_1/O[42]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__42' driven by pin
'RAM_256x16_TEST_INST_1/O[33]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__37' driven by pin
'RAM_256x16_TEST_INST_1/O[38]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__44' driven by pin
'RAM_256x16_TEST_INST_1/O[31]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__55' driven by pin
'RAM_256x16_TEST_INST_1/O[20]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__32' driven by pin
'RAM_256x16_TEST_INST_1/O[43]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__89' driven by pin
'RAM_256x16_TEST_INST_2/O[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__87' driven by pin
'RAM_256x16_TEST_INST_2/O[18]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__75' driven by pin
'RAM_256x16_TEST_INST_2/O[30]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__65' driven by pin
'RAM_256x16_TEST_INST_2/O[40]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__73' driven by pin
'RAM_256x16_TEST_INST_2/O[32]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__64' driven by pin
'RAM_256x16_TEST_INST_2/O[41]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__79' driven by pin
'RAM_256x16_TEST_INST_2/O[26]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__88' driven by pin
'RAM_256x16_TEST_INST_2/O[17]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__77' driven by pin
'RAM_256x16_TEST_INST_2/O[28]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__69' driven by pin
'RAM_256x16_TEST_INST_2/O[36]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__29' driven by pin
'RAM_128x16_TEST_INST/O[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__27' driven by pin
'RAM_128x16_TEST_INST/O[18]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__15' driven by pin
'RAM_128x16_TEST_INST/O[30]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__5' driven by pin
'RAM_128x16_TEST_INST/O[40]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__13' driven by pin
'RAM_128x16_TEST_INST/O[32]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__4' driven by pin
'RAM_128x16_TEST_INST/O[41]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__19' driven by pin
'RAM_128x16_TEST_INST/O[26]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__28' driven by pin
'RAM_128x16_TEST_INST/O[17]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__17' driven by pin
'RAM_128x16_TEST_INST/O[28]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__9' driven by pin
'RAM_128x16_TEST_INST/O[36]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__11' driven by pin
'RAM_128x16_TEST_INST/O[34]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__6' driven by pin
'RAM_128x16_TEST_INST/O[39]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__20' driven by pin
'RAM_128x16_TEST_INST/O[25]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__18' driven by pin
'RAM_128x16_TEST_INST/O[27]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__10' driven by pin
'RAM_128x16_TEST_INST/O[35]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__26' driven by pin
'RAM_128x16_TEST_INST/O[19]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__16' driven by pin
'RAM_128x16_TEST_INST/O[29]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__22' driven by pin
'RAM_128x16_TEST_INST/O[23]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__8' driven by pin
'RAM_128x16_TEST_INST/O[37]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__1' driven by pin
'RAM_128x16_TEST_INST/O[44]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__21' driven by pin
'RAM_128x16_TEST_INST/O[24]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__24' driven by pin
'RAM_128x16_TEST_INST/O[21]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__23' driven by pin
'RAM_128x16_TEST_INST/O[22]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__0' driven by pin
'RAM_128x16_TEST_INST/O[45]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__3' driven by pin
'RAM_128x16_TEST_INST/O[42]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__12' driven by pin
'RAM_128x16_TEST_INST/O[33]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__7' driven by pin
'RAM_128x16_TEST_INST/O[38]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__14' driven by pin
'RAM_128x16_TEST_INST/O[31]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__25' driven by pin
'RAM_128x16_TEST_INST/O[20]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'SYNOPSYS_UNCONNECTED__2' driven by pin
'RAM_128x16_TEST_INST/O[43]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'PM_INST/SYNOPSYS_UNCONNECTED__0' driven
by pin 'PM_INST/state_inst/power_control[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'PM_INST/SYNOPSYS_UNCONNECTED__1' driven
by pin 'PM_INST/state_inst/power_control[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'PM_INST/SYNOPSYS_UNCONNECTED__2' driven
by pin 'PM_INST/state_inst/power_control[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/MPY_32_INST/ovm' driven by pin
'TDSP_CORE_INST/MPY_32_INST/ovm' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/ACCUM_STAT_INST/accum[32]'
driven by pin 'TDSP_CORE_INST/ACCUM_STAT_INST/accum[32]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/acc[32]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/acc[32]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/ACCUM_STAT_INST/ov' driven
by pin 'TDSP_CORE_INST/ACCUM_STAT_INST/ov' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[3]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[2]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[1]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[0]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/alu_cmd[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/PROG_BUS_MACH_INST/sync'
driven by pin 'TDSP_CORE_INST/PROG_BUS_MACH_INST/sync' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/DATA_BUS_MACH_INST/sync'
driven by pin 'TDSP_CORE_INST/DATA_BUS_MACH_INST/sync' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/PORT_BUS_MACH_INST/sync'
driven by pin 'TDSP_CORE_INST/PORT_BUS_MACH_INST/sync' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[15]' driven
by pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[14]' driven
by pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[13]' driven
by pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[12]' driven
by pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[11]' driven
by pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[10]' driven
by pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[9]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[8]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[7]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[6]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[5]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[4]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[3]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[2]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[1]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/pdr[0]' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/pdr[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[15]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[14]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[13]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[12]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[11]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[10]' driven by pin
'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[9]'
driven by pin 'TDSP_CORE_INST/TDSP_CORE_GLUE_INST/pc[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/EXECUTE_INST/decode_skip_one' driven by pin
'TDSP_CORE_INST/EXECUTE_INST/decode_skip_one' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/DECODE_INST/phi_5' driven by
pin 'TDSP_CORE_INST/DECODE_INST/phi_5' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/DECODE_INST/phi_4' driven by
pin 'TDSP_CORE_INST/DECODE_INST/phi_4' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/DECODE_INST/phi_2' driven by
pin 'TDSP_CORE_INST/DECODE_INST/phi_2' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/EXECUTE_INST/phi_2' driven by
pin 'TDSP_CORE_INST/EXECUTE_INST/phi_2' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/DECODE_INST/phi_1' driven by
pin 'TDSP_CORE_INST/DECODE_INST/phi_1' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/SYNOPSYS_UNCONNECTED__0' driven by pin
'TDSP_CORE_INST/EXECUTE_INST/alu_cmd[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__443' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__444' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__445' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__446' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__447' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__448' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__449' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__450' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__451' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__452' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__453' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__454' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__455' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__456' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__457' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__458' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__459' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__460' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__461' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__462' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__463' driven by pin
'RESULTS_CONV_INST/sub_357_C165/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C193/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C193/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C193/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C193/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C193/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C193/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C184/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C184/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C184/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C184/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C184/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C184/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C184/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C184/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C184/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C165/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C165/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C165/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C165/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C165/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C165/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C165/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C165/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C165/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C220/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C220/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C220/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C211/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C211/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C211/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C211/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C211/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C211/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C211/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C211/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C211/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C202/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C202/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C202/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C202/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C202/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C202/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C202/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C202/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C202/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C193/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C193/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C193/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C238/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C238/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C238/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C238/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C238/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C238/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C238/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C238/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C238/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C229/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C229/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C229/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C229/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C229/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C229/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C229/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_357_C229/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/CI' driven by
pin 'RESULTS_CONV_INST/sub_357_C229/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C220/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_359_C220/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/CI' driven by
pin 'RESULTS_CONV_INST/sub_359_C220/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C220/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_358_C220/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/CI' driven by
pin 'RESULTS_CONV_INST/sub_358_C220/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_389_C253/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_389_C253/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/CI' driven by
pin 'RESULTS_CONV_INST/sub_389_C253/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_388_C253/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/B[14]' driven
by pin 'RESULTS_CONV_INST/sub_388_C253/B[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_388_C253/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/B[15]' driven
by pin 'RESULTS_CONV_INST/sub_388_C253/B[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/CI' driven by
pin 'RESULTS_CONV_INST/sub_388_C253/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_384_C253/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_384_C253/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/CI' driven by
pin 'RESULTS_CONV_INST/sub_384_C253/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_383_C253/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/B[14]' driven
by pin 'RESULTS_CONV_INST/sub_383_C253/B[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_383_C253/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/B[15]' driven
by pin 'RESULTS_CONV_INST/sub_383_C253/B[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/CI' driven by
pin 'RESULTS_CONV_INST/sub_383_C253/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/A[16]' driven
by pin 'RESULTS_CONV_INST/sub_380_C253/A[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/B[16]' driven
by pin 'RESULTS_CONV_INST/sub_380_C253/B[16]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/CI' driven by
pin 'RESULTS_CONV_INST/sub_380_C253/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__413' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__414' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__415' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__416' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__417' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__418' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__419' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__420' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__421' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__422' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__423' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__424' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__425' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__426' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__427' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__428' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__429' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__430' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__431' driven by pin
'RESULTS_CONV_INST/sub_359_C165/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__432' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__433' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__434' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__435' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__436' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__437' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__438' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__439' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__440' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__441' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__442' driven by pin
'RESULTS_CONV_INST/sub_358_C165/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__375' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__376' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__377' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__378' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__379' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__380' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__381' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__382' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__383' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__384' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__385' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__386' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__387' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__388' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__389' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__390' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__391' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__392' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__393' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__394' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__395' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__396' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__397' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__398' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__399' driven by pin
'RESULTS_CONV_INST/sub_358_C184/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__400' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__401' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__402' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__403' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__404' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__405' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__406' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__407' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__408' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__409' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__410' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__411' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__412' driven by pin
'RESULTS_CONV_INST/sub_357_C184/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__337' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__338' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__339' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__340' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__341' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__342' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__343' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__344' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__345' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__346' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__347' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__348' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__349' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__350' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__351' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__352' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__353' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__354' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__355' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__356' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__357' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__358' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__359' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__360' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__361' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__362' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__363' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__364' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__365' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__366' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__367' driven by pin
'RESULTS_CONV_INST/sub_357_C193/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__368' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__369' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__370' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__371' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__372' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__373' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__374' driven by pin
'RESULTS_CONV_INST/sub_359_C184/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__304' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__305' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__306' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__307' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__308' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__309' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__310' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__311' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__312' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__313' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__314' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__315' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__316' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__317' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__318' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__319' driven by pin
'RESULTS_CONV_INST/sub_357_C202/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__320' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__321' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__322' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__323' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__324' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__325' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__326' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__327' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__328' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__329' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__330' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__331' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__332' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__333' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__334' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__335' driven by pin
'RESULTS_CONV_INST/sub_359_C193/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__336' driven by pin
'RESULTS_CONV_INST/sub_358_C193/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__272' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__273' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__274' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__275' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__276' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__277' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__278' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__279' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__280' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__281' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__282' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__283' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__284' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__285' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__286' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__287' driven by pin
'RESULTS_CONV_INST/sub_359_C202/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__288' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__289' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__290' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__291' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__292' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__293' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__294' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__295' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__296' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__297' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__298' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__299' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__300' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__301' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__302' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__303' driven by pin
'RESULTS_CONV_INST/sub_358_C202/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__249' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__250' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__251' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__252' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__253' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__254' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__255' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__256' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__257' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__258' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__259' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__260' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__261' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__262' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__263' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__264' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__265' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__266' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__267' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__268' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__269' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__270' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__271' driven by pin
'RESULTS_CONV_INST/sub_357_C211/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__219' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__220' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__221' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__222' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__223' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__224' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__225' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__226' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__227' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__228' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__229' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__230' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__231' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__232' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__233' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__234' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__235' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__236' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__237' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__238' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__239' driven by pin
'RESULTS_CONV_INST/sub_359_C211/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__240' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__241' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__242' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__243' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__244' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__245' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__246' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__247' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__248' driven by pin
'RESULTS_CONV_INST/sub_358_C211/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__181' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__182' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__183' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__184' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__185' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__186' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__187' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__188' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__189' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__190' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__191' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__192' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__193' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__194' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__195' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__196' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__197' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__198' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__199' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__200' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__201' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__202' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__203' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__204' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__205' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__206' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__207' driven by pin
'RESULTS_CONV_INST/sub_358_C220/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__208' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__209' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__210' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__211' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__212' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__213' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__214' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__215' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__216' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__217' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__218' driven by pin
'RESULTS_CONV_INST/sub_357_C220/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__144' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__145' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__146' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__147' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__148' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__149' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__150' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__151' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__152' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__153' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__154' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__155' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__156' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__157' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__158' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__159' driven by pin
'RESULTS_CONV_INST/sub_358_C229/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__160' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__161' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__162' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__163' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__164' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__165' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__166' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__167' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__168' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__169' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__170' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__171' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__172' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__173' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__174' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__175' driven by pin
'RESULTS_CONV_INST/sub_357_C229/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__176' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__177' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__178' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__179' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__180' driven by pin
'RESULTS_CONV_INST/sub_359_C220/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__112' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__113' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__114' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__115' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__116' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__117' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__118' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__119' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__120' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__121' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__122' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__123' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__124' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__125' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__126' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__127' driven by pin
'RESULTS_CONV_INST/sub_357_C238/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__128' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__129' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__130' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__131' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__132' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__133' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__134' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__135' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__136' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__137' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__138' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__139' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__140' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__141' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__142' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__143' driven by pin
'RESULTS_CONV_INST/sub_359_C229/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__80' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__81' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__82' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__83' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__84' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__85' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__86' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__87' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__88' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__89' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__90' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__91' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__92' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__93' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__94' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__95' driven by pin
'RESULTS_CONV_INST/sub_359_C238/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__96' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__97' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__98' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__99' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__100' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__101' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__102' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__103' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__104' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__105' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__106' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__107' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__108' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__109' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__110' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__111' driven by pin
'RESULTS_CONV_INST/sub_358_C238/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__48' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__49' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__50' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__51' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__52' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__53' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__54' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__55' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__56' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__57' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__58' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__59' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__60' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__61' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__62' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__63' driven by pin
'RESULTS_CONV_INST/sub_383_C253/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__64' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__65' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__66' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__67' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__68' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__69' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__70' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__71' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__72' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__73' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__74' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__75' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__76' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__77' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__78' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__79' driven by pin
'RESULTS_CONV_INST/sub_380_C253/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__16' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__17' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__18' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__19' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__20' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__21' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__22' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__23' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__24' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__25' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__26' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__27' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__28' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__29' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__30' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__31' driven by pin
'RESULTS_CONV_INST/sub_388_C253/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__32' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__33' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__34' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__35' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__36' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__37' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__38' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__39' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__40' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__41' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__42' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__43' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__44' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__45' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__46' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__47' driven by pin
'RESULTS_CONV_INST/sub_384_C253/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__0' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[15]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__1' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__2' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__3' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__4' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__5' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__6' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__7' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__8' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__9' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__10' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__11' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__12' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__13' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__14' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'RESULTS_CONV_INST/SYNOPSYS_UNCONNECTED__15' driven by pin
'RESULTS_CONV_INST/sub_389_C253/DIFF[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/ALU_32_INST/sub_84/CI' driven
by pin 'TDSP_CORE_INST/ALU_32_INST/sub_84/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/ALU_32_INST/add_81/CI' driven
by pin 'TDSP_CORE_INST/ALU_32_INST/add_81/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/TC' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/TC' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[1]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[1]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[0]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[0]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/A[29]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/A[29]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[9]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[9]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[8]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[8]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[7]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[7]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[6]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[6]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[5]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[5]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[4]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[4]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[3]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[3]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[2]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[2]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[14]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[14]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[13]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[13]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[12]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[12]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[11]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[11]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[10]' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/B[10]' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/CI' driven by pin
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/CI' has no loads. (LINT-2)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/t_sdo[1]' has no drivers. Logic 0
assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/t_sdo[2]' has no drivers. Logic 0
assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/t_sdo[0]' has no drivers. Logic 0
assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'scan_out[0]' has no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'scan_out[1]' has no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'scan_out[2]' has no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'vcop' has no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'vcom' has no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'switch_en_out' has no drivers. Logic 0 assumed. (LINT-
3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C165/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C165/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C165/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C184/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C184/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C193/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C184/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C202/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C193/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C193/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C202/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C202/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C211/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C211/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C211/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C220/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C220/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C229/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C229/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C220/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_357_C238/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C229/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_359_C238/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_358_C238/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_383_C253/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_380_C253/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_388_C253/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_384_C253/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[15]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[14]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[13]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[12]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[11]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[10]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[9]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[8]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[7]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[6]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[5]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[4]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[3]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[2]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[1]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'RESULTS_CONV_INST/sub_389_C253/DIFF[0]' has
no drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/ALU_32_INST/add_81/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net 'TDSP_CORE_INST/ALU_32_INST/sub_84/CO' has no
drivers. Logic 0 assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', net
'TDSP_CORE_INST/MPY_32_INST/M16X16_INST/mult_8/FS_1/CO' has no drivers. Logic 0
assumed. (LINT-3)
Warning: In design 'dtmf_recvr_core', output port 'scan_out[2]' is not driven. (LINT-5)
Warning: In design 'dtmf_recvr_core', output port 'scan_out[1]' is not driven. (LINT-5)
Warning: In design 'dtmf_recvr_core', output port 'scan_out[0]' is not driven. (LINT-5)
Warning: In design 'dtmf_recvr_core', output port 'switch_en_out' is not driven. (LINT-5)
Warning: In design 'dtmf_recvr_core', output port 'vcom' is not driven. (LINT-5)
Warning: In design 'dtmf_recvr_core', output port 'vcop' is not driven. (LINT-5)
Warning: In design 'tdsp_core', output port 't_sdo[1]' is not driven. (LINT-5)
Warning: In design 'tdsp_core', output port 't_sdo[2]' is not driven. (LINT-5)
Warning: In design 'tdsp_core', output port 't_sdo[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_4', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_3', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_2', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_1', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_0', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_12', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_11', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_10', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_9', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_8', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_7', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_6', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_5', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_20', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_19', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_18', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_17', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_16', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_15', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_14', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_13', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_28', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_27', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_26', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_25', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_24', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_23', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_22', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[14]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[15]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'CO' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[6]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[7]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[8]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[9]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[10]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[11]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[12]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[13]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[0]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[1]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[2]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[3]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[4]' is not driven. (LINT-5)
Warning: In design 'results_conv_DW01_sub_21', output port 'DIFF[5]' is not driven. (LINT-5)
Warning: In design 'alu_32_DW01_add_0', output port 'CO' is not driven. (LINT-5)
Warning: In design 'alu_32_DW01_sub_0', output port 'CO' is not driven. (LINT-5)
Warning: In design 'm16x16_DW01_add_0', output port 'CO' is not driven. (LINT-5)
Warning: In design 'dtmf_recvr_core', input port 'scan_in[2]' is unloaded. (LINT-8)
Warning: In design 'dtmf_recvr_core', input port 'scan_in[1]' is unloaded. (LINT-8)
Warning: In design 'dtmf_recvr_core', input port 'scan_in[0]' is unloaded. (LINT-8)
Warning: In design 'dtmf_recvr_core', input port 'ibias' is unloaded. (LINT-8)
Warning: In design 'dtmf_recvr_core', input port 'scan_en' is unloaded. (LINT-8)
Warning: In design 'tdsp_ds_cs', input port 'port_write' is unloaded. (LINT-8)
Warning: In design 'tdsp_ds_cs', input port 'port_read' is unloaded. (LINT-8)
Warning: In design 'tdsp_core', input port 't_sdi[0]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core', input port 't_sdi[1]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core', input port 't_sdi[2]' is unloaded. (LINT-8)
Warning: In design 'tdsp_data_mux', input port 't_read' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'alu_cmd[3]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'alu_cmd[0]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'alu_cmd[1]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'alu_cmd[2]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[11]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[12]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[13]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[14]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[15]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[9]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'pc[10]' is unloaded. (LINT-8)
Warning: In design 'tdsp_core_glue', input port 'acc[32]' is unloaded. (LINT-8)
Warning: In design 'mult_32', input port 'ovm' is unloaded. (LINT-8)
Warning: In design 'accum_stat', input port 'ov' is unloaded. (LINT-8)
Warning: In design 'accum_stat', input port 'accum[32]' is unloaded. (LINT-8)
Warning: In design 'port_bus_mach', input port 'sync' is unloaded. (LINT-8)
Warning: In design 'data_bus_mach', input port 'sync' is unloaded. (LINT-8)
Warning: In design 'prog_bus_mach', input port 'sync' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'decode_skip_one' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'phi_2' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[15]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[7]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[8]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[9]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[10]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[11]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[12]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[13]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[14]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[0]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[1]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[2]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[3]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[4]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[5]' is unloaded. (LINT-8)
Warning: In design 'execute_i', input port 'pdr[6]' is unloaded. (LINT-8)
Warning: In design 'decode_i', input port 'phi_1' is unloaded. (LINT-8)
Warning: In design 'decode_i', input port 'phi_2' is unloaded. (LINT-8)
Warning: In design 'decode_i', input port 'phi_4' is unloaded. (LINT-8)
Warning: In design 'decode_i', input port 'phi_5' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_4', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_4', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_4', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_3', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_3', input port 'B[15]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_3', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_3', input port 'B[14]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_3', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_2', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_2', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_2', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_1', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_1', input port 'B[15]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_1', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_1', input port 'B[14]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_1', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_0', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_0', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_0', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_12', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_12', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_12', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_11', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_11', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_11', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_10', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_10', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_10', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_9', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_9', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_9', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_8', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_8', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_8', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_7', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_7', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_7', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_6', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_6', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_6', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_5', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_5', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_5', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_20', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_20', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_20', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_19', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_19', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_19', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_18', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_18', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_18', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_17', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_17', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_17', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_16', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_16', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_16', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_15', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_15', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_15', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_14', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_14', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_14', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_13', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_13', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_13', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_28', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_28', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_28', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_27', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_27', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_27', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_26', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_26', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_26', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_25', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_25', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_25', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_24', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_24', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_24', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_23', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_23', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_23', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_22', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_22', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_22', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_21', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_21', input port 'B[16]' is unloaded. (LINT-8)
Warning: In design 'results_conv_DW01_sub_21', input port 'A[16]' is unloaded. (LINT-8)
Warning: In design 'alu_32_DW01_add_0', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'alu_32_DW01_sub_0', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW02_mult_0', input port 'TC' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'CI' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[10]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[11]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[12]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[13]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[14]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[2]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[3]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[4]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[5]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[6]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[7]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[8]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[9]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'A[29]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[0]' is unloaded. (LINT-8)
Warning: In design 'm16x16_DW01_add_0', input port 'B[1]' is unloaded. (LINT-8)
Warning: In design 'tdsp_ds_cs', input port 'address[0]' is connected directly to output port
't_address_ds[0]'. (LINT-29)
Warning: In design 'tdsp_ds_cs', input port 'address[1]' is connected directly to output port
't_address_ds[1]'. (LINT-29)
Warning: In design 'tdsp_ds_cs', input port 'address[2]' is connected directly to output port
't_address_ds[2]'. (LINT-29)
Warning: In design 'tdsp_ds_cs', input port 'address[3]' is connected directly to output port
't_address_ds[3]'. (LINT-29)
Warning: In design 'tdsp_ds_cs', input port 'address[4]' is connected directly to output port
't_address_ds[4]'. (LINT-29)
Warning: In design 'tdsp_ds_cs', input port 'address[5]' is connected directly to output port
't_address_ds[5]'. (LINT-29)
Warning: In design 'tdsp_ds_cs', input port 'address[6]' is connected directly to output port
't_address_ds[6]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[11]' is connected directly to output
port 'pdr[11]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[12]' is connected directly to output
port 'pdr[12]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[13]' is connected directly to output
port 'pdr[13]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[14]' is connected directly to output
port 'pdr[14]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[15]' is connected directly to output
port 'pdr[15]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[3]' is connected directly to output
port 'pdr[3]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[4]' is connected directly to output
port 'pdr[4]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[5]' is connected directly to output
port 'pdr[5]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[6]' is connected directly to output
port 'pdr[6]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[7]' is connected directly to output
port 'pdr[7]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[8]' is connected directly to output
port 'pdr[8]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[9]' is connected directly to output
port 'pdr[9]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[10]' is connected directly to output
port 'pdr[10]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[0]' is connected directly to output
port 'pdr[0]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[1]' is connected directly to output
port 'pdr[1]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'port_data_out[2]' is connected directly to output
port 'pdr[2]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[11]' is connected directly to output port
'p_data_in[11]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[11]' is connected directly to output port
'port_data_in[11]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[11]' is connected directly to output port
'ze_mdr[11]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[11]' is connected directly to output port
'mdr[11]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[12]' is connected directly to output port
'p_data_in[12]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[12]' is connected directly to output port
'port_data_in[12]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[12]' is connected directly to output port
'ze_mdr[12]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[12]' is connected directly to output port
'mdr[12]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[13]' is connected directly to output port
'p_data_in[13]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[13]' is connected directly to output port
'port_data_in[13]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[13]' is connected directly to output port
'ze_mdr[13]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[13]' is connected directly to output port
'mdr[13]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[14]' is connected directly to output port
'p_data_in[14]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[14]' is connected directly to output port
'port_data_in[14]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[14]' is connected directly to output port
'ze_mdr[14]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[14]' is connected directly to output port
'mdr[14]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[15]' is connected directly to output port
'p_data_in[15]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[15]' is connected directly to output port
'port_data_in[15]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[15]' is connected directly to output port
'se_shift_mdr[30]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[15]' is connected directly to output port
'se_shift_mdr[31]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[15]' is connected directly to output port
'ze_mdr[15]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[15]' is connected directly to output port
'mdr[15]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[3]' is connected directly to output port
'p_data_in[3]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[3]' is connected directly to output port
'port_data_in[3]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[3]' is connected directly to output port
'ze_mdr[3]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[3]' is connected directly to output port
'mdr[3]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[4]' is connected directly to output port
'p_data_in[4]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[4]' is connected directly to output port
'port_data_in[4]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[4]' is connected directly to output port
'ze_mdr[4]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[4]' is connected directly to output port
'mdr[4]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[5]' is connected directly to output port
'p_data_in[5]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[5]' is connected directly to output port
'port_data_in[5]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[5]' is connected directly to output port
'ze_mdr[5]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[5]' is connected directly to output port
'mdr[5]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[6]' is connected directly to output port
'p_data_in[6]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[6]' is connected directly to output port
'port_data_in[6]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[6]' is connected directly to output port
'ze_mdr[6]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[6]' is connected directly to output port
'mdr[6]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[7]' is connected directly to output port
'p_data_in[7]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[7]' is connected directly to output port
'port_data_in[7]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[7]' is connected directly to output port
'ze_mdr[7]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[7]' is connected directly to output port
'mdr[7]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[8]' is connected directly to output port
'p_data_in[8]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[8]' is connected directly to output port
'port_data_in[8]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[8]' is connected directly to output port
'ze_mdr[8]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[8]' is connected directly to output port
'mdr[8]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[9]' is connected directly to output port
'p_data_in[9]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[9]' is connected directly to output port
'port_data_in[9]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[9]' is connected directly to output port
'ze_mdr[9]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[9]' is connected directly to output port
'mdr[9]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[10]' is connected directly to output port
'p_data_in[10]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[10]' is connected directly to output port
'port_data_in[10]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[10]' is connected directly to output port
'ze_mdr[10]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[10]' is connected directly to output port
'mdr[10]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[0]' is connected directly to output port
'p_data_in[0]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[0]' is connected directly to output port
'port_data_in[0]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[0]' is connected directly to output port
'ze_mdr[0]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[0]' is connected directly to output port
'mdr[0]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[1]' is connected directly to output port
'p_data_in[1]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[1]' is connected directly to output port
'port_data_in[1]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[1]' is connected directly to output port
'ze_mdr[1]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[1]' is connected directly to output port
'mdr[1]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[2]' is connected directly to output port
'p_data_in[2]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[2]' is connected directly to output port
'port_data_in[2]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[2]' is connected directly to output port
'ze_mdr[2]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'data_out[2]' is connected directly to output port
'mdr[2]'. (LINT-29)
Warning: In design 'tdsp_core_glue', input port 'ir[10]' is connected directly to output port
'port_addrs_in[2]'. (LINT-29)
Warning: In design 'accum_stat', input port 'accum[31]' is connected directly to output port 'lz'.
(LINT-29)
Warning: In design 'port_bus_mach', input port 'addrs_in[0]' is connected directly to output port
'address[0]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'addrs_in[1]' is connected directly to output port
'address[1]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'addrs_in[2]' is connected directly to output port
'address[2]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[13]' is connected directly to output port
'pad_data_out[13]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[14]' is connected directly to output port
'pad_data_out[14]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[15]' is connected directly to output port
'pad_data_out[15]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[5]' is connected directly to output port
'pad_data_out[5]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[6]' is connected directly to output port
'pad_data_out[6]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[7]' is connected directly to output port
'pad_data_out[7]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[8]' is connected directly to output port
'pad_data_out[8]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[9]' is connected directly to output port
'pad_data_out[9]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[10]' is connected directly to output port
'pad_data_out[10]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[11]' is connected directly to output port
'pad_data_out[11]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[12]' is connected directly to output port
'pad_data_out[12]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[0]' is connected directly to output port
'pad_data_out[0]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[1]' is connected directly to output port
'pad_data_out[1]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[2]' is connected directly to output port
'pad_data_out[2]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[3]' is connected directly to output port
'pad_data_out[3]'. (LINT-29)
Warning: In design 'port_bus_mach', input port 'data_in[4]' is connected directly to output port
'pad_data_out[4]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[0]' is connected directly to output port
'address[0]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[1]' is connected directly to output port
'address[1]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[2]' is connected directly to output port
'address[2]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[3]' is connected directly to output port
'address[3]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[4]' is connected directly to output port
'address[4]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[5]' is connected directly to output port
'address[5]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[6]' is connected directly to output port
'address[6]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'addrs_in[7]' is connected directly to output port
'address[7]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[8]' is connected directly to output port
'pad_data_out[8]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[9]' is connected directly to output port
'pad_data_out[9]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[10]' is connected directly to output port
'pad_data_out[10]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[11]' is connected directly to output port
'pad_data_out[11]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[12]' is connected directly to output port
'pad_data_out[12]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[13]' is connected directly to output port
'pad_data_out[13]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[14]' is connected directly to output port
'pad_data_out[14]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[15]' is connected directly to output port
'pad_data_out[15]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[0]' is connected directly to output port
'pad_data_out[0]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[1]' is connected directly to output port
'pad_data_out[1]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[2]' is connected directly to output port
'pad_data_out[2]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[3]' is connected directly to output port
'pad_data_out[3]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[4]' is connected directly to output port
'pad_data_out[4]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[5]' is connected directly to output port
'pad_data_out[5]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[6]' is connected directly to output port
'pad_data_out[6]'. (LINT-29)
Warning: In design 'data_bus_mach', input port 'data_in[7]' is connected directly to output port
'pad_data_out[7]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[7]' is connected directly to output port
'address[7]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[8]' is connected directly to output port
'address[8]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[0]' is connected directly to output port
'address[0]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[1]' is connected directly to output port
'address[1]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[2]' is connected directly to output port
'address[2]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[3]' is connected directly to output port
'address[3]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[4]' is connected directly to output port
'address[4]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[5]' is connected directly to output port
'address[5]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'addrs_in[6]' is connected directly to output port
'address[6]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[15]' is connected directly to output port
'pad_data_out[15]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[7]' is connected directly to output port
'pad_data_out[7]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[8]' is connected directly to output port
'pad_data_out[8]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[9]' is connected directly to output port
'pad_data_out[9]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[10]' is connected directly to output port
'pad_data_out[10]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[11]' is connected directly to output port
'pad_data_out[11]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[12]' is connected directly to output port
'pad_data_out[12]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[13]' is connected directly to output port
'pad_data_out[13]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[14]' is connected directly to output port
'pad_data_out[14]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[0]' is connected directly to output port
'pad_data_out[0]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[1]' is connected directly to output port
'pad_data_out[1]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[2]' is connected directly to output port
'pad_data_out[2]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[3]' is connected directly to output port
'pad_data_out[3]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[4]' is connected directly to output port
'pad_data_out[4]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[5]' is connected directly to output port
'pad_data_out[5]'. (LINT-29)
Warning: In design 'prog_bus_mach', input port 'data_in[6]' is connected directly to output port
'pad_data_out[6]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[8]' is connected directly to output port
'SUM[8]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[9]' is connected directly to output port
'SUM[9]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[10]' is connected directly to output port
'SUM[10]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[11]' is connected directly to output port
'SUM[11]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[12]' is connected directly to output port
'SUM[12]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[13]' is connected directly to output port
'SUM[13]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[14]' is connected directly to output port
'SUM[14]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[0]' is connected directly to output port
'SUM[0]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[1]' is connected directly to output port
'SUM[1]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[2]' is connected directly to output port
'SUM[2]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[3]' is connected directly to output port
'SUM[3]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[4]' is connected directly to output port
'SUM[4]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[5]' is connected directly to output port
'SUM[5]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[6]' is connected directly to output port
'SUM[6]'. (LINT-29)
Warning: In design 'm16x16_DW01_add_0', input port 'A[7]' is connected directly to output port
'SUM[7]'. (LINT-29)
Warning: In design 'ulaw_lin_conv', output port 'lpcm[14]' is connected directly to output port
'lpcm[15]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[12]' is connected directly to output port
'p_data_in[12]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[12]' is connected directly to output port
'port_data_in[12]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[12]' is connected directly to output port
'ze_mdr[12]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[13]' is connected directly to output port
'p_data_in[13]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[13]' is connected directly to output port
'port_data_in[13]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[13]' is connected directly to output port
'ze_mdr[13]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[14]' is connected directly to output port
'p_data_in[14]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[14]' is connected directly to output port
'port_data_in[14]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[14]' is connected directly to output port
'ze_mdr[14]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[15]' is connected directly to output port
'p_data_in[15]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[15]' is connected directly to output port
'port_data_in[15]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[15]' is connected directly to output port
'se_shift_mdr[30]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[15]' is connected directly to output port
'se_shift_mdr[31]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[15]' is connected directly to output port
'ze_mdr[15]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[4]' is connected directly to output port
'p_data_in[4]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[4]' is connected directly to output port
'port_data_in[4]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[4]' is connected directly to output port
'ze_mdr[4]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[5]' is connected directly to output port
'p_data_in[5]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[5]' is connected directly to output port
'port_data_in[5]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[5]' is connected directly to output port
'ze_mdr[5]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[6]' is connected directly to output port
'p_data_in[6]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[6]' is connected directly to output port
'port_data_in[6]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[6]' is connected directly to output port
'ze_mdr[6]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[7]' is connected directly to output port
'p_data_in[7]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[7]' is connected directly to output port
'port_data_in[7]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[7]' is connected directly to output port
'ze_mdr[7]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[8]' is connected directly to output port
'p_data_in[8]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[8]' is connected directly to output port
'port_data_in[8]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[8]' is connected directly to output port
'ze_mdr[8]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[9]' is connected directly to output port
'p_data_in[9]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[9]' is connected directly to output port
'port_data_in[9]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[9]' is connected directly to output port
'ze_mdr[9]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[10]' is connected directly to output port
'p_data_in[10]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[10]' is connected directly to output port
'port_data_in[10]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[10]' is connected directly to output port
'ze_mdr[10]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[11]' is connected directly to output port
'p_data_in[11]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[11]' is connected directly to output port
'port_data_in[11]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[11]' is connected directly to output port
'ze_mdr[11]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[0]' is connected directly to output port
'p_data_in[0]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[0]' is connected directly to output port
'port_data_in[0]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[0]' is connected directly to output port
'ze_mdr[0]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[1]' is connected directly to output port
'p_data_in[1]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[1]' is connected directly to output port
'port_data_in[1]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[1]' is connected directly to output port
'ze_mdr[1]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[2]' is connected directly to output port
'p_data_in[2]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[2]' is connected directly to output port
'port_data_in[2]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[2]' is connected directly to output port
'ze_mdr[2]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[3]' is connected directly to output port
'p_data_in[3]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[3]' is connected directly to output port
'port_data_in[3]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'mdr[3]' is connected directly to output port
'ze_mdr[3]'. (LINT-31)
Warning: In design 'tdsp_core_glue', output port 'res_port_adr[0]' is connected directly to output
port 'port_addrs_in[0]'. (LINT-31)
Warning: In design 'dtmf_recvr_core', a pin on submodule 'TDSP_CORE_INST' is connected to
logic 1 or logic 0. (LINT-32)
Pin 't_sdi[0]' is connected to logic 0.
Warning: In design 'dtmf_recvr_core', a pin on submodule 'TDSP_CORE_INST' is connected to
logic 1 or logic 0. (LINT-32)
Pin 't_sdi[1]' is connected to logic 0.
Warning: In design 'dtmf_recvr_core', a pin on submodule 'TDSP_CORE_INST' is connected to
logic 1 or logic 0. (LINT-32)
Pin 't_sdi[2]' is connected to logic 0.
Warning: In design 'tdsp_core', a pin on submodule 'TDSP_CORE_GLUE_INST' is connected to
logic 1 or logic 0. (LINT-32)
Pin 'enc_read_data' is connected to logic 0.
Warning: In design 'tdsp_core', a pin on submodule 'TDSP_CORE_GLUE_INST' is connected to
logic 1 or logic 0. (LINT-32)
Pin 'enc_read_port' is connected to logic 0.
Warning: In design 'tdsp_core', a pin on submodule 'TDSP_CORE_GLUE_INST' is connected to
logic 1 or logic 0. (LINT-32)
Pin 'alu_cmd[3]' is connected to logic 0.
Warning: In design 'tdsp_core', a pin on submodule 'ALU_32_INST' is connected to logic 1 or logic
0. (LINT-32)
Pin 'cmd[3]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_380_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_380_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_380_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_383_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_383_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[15]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_383_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_383_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[14]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_383_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_384_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_384_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_384_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_388_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_388_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[15]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_388_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_388_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[14]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_388_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_389_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_389_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_389_C253' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C229' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C238' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C202' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C211' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C220' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C165' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_359_C184' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_357_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[16]' is connected to logic 0.
Warning: In design 'results_conv', a pin on submodule 'sub_358_C193' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[16]' is connected to logic 0.
Warning: In design 'alu_32', a pin on submodule 'add_81' is connected to logic 1 or logic 0. (LINT-
32)
Pin 'CI' is connected to logic 0.
Warning: In design 'alu_32', a pin on submodule 'sub_84' is connected to logic 1 or logic 0. (LINT-
32)
Pin 'CI' is connected to logic 0.
Warning: In design 'm16x16', a pin on submodule 'mult_8' is connected to logic 1 or logic 0. (LINT-
32)
Pin 'TC' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'CI' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[10]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[11]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[12]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[13]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[14]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[2]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[3]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[4]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[5]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[6]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[7]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[8]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[9]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'A[29]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[0]' is connected to logic 0.
Warning: In design 'm16x16_DW02_mult_0', a pin on submodule 'FS_1' is connected to logic 1 or
logic 0. (LINT-32)
Pin 'B[1]' is connected to logic 0.
Warning: In design 'dtmf_recvr_core', the same net is connected to more than one pin on submodule
'TDSP_DS_CS_INST'. (LINT-33)
Net 'port_write' is connected to pins 'port_write', 'port_read''.
Warning: In design 'dtmf_recvr_core', the same net is connected to more than one pin on submodule
'TDSP_CORE_INST'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 't_sdi[0]', 't_sdi[1]'', 't_sdi[2]'.
Warning: In design 'tdsp_core', the same net is connected to more than one pin on submodule
'TDSP_CORE_GLUE_INST'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'enc_read_data', 'enc_read_port'', 'alu_cmd[3]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_380_C253'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_383_C253'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[15]'', 'B[16]', 'B[14]', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_384_C253'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_388_C253'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[15]'', 'B[16]', 'B[14]', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_389_C253'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C220'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C220'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C229'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C229'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C229'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C238'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C238'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C238'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C193'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C202'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C202'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C202'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C211'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C211'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C211'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C220'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C165'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C165'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C165'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C184'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C184'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_359_C184'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_357_C193'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'results_conv', the same net is connected to more than one pin on submodule
'sub_358_C193'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[16]'', 'A[16]'.
Warning: In design 'm16x16_DW02_mult_0', the same net is connected to more than one pin on
submodule 'FS_1'. (LINT-33)
Net 'SNPS_LOGIC0' is connected to pins 'CI', 'B[10]'', 'B[11]', 'B[12]', 'B[13]', 'B[14]', 'B[2]',
'B[3]', 'B[4]', 'B[5]', 'B[6]', 'B[7]', 'B[8]', 'B[9]', 'A[29]', 'B[0]', 'B[1]'.
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[28]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[29]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[30]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[31]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[20]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[21]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[22]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[23]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[24]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[25]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[26]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[27]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[16]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[17]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[18]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'ze_mdr[19]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'res_port_adr[4]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'res_port_adr[5]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'res_port_adr[6]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'res_port_adr[7]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'tdsp_core_glue', output port 'res_port_adr[3]' is connected directly to 'logic 0'.
(LINT-52)
Warning: In design 'execute_i', output port 'read_port' is connected directly to 'logic 0'. (LINT-52)
Warning: In design 'execute_i', output port 'read_data' is connected directly to 'logic 0'. (LINT-52)
Warning: In design 'execute_i', output port 'alu_cmd[3]' is connected directly to 'logic 0'. (LINT-52)
1
icc_shell> check_design > reports/dtmf_check_design_import.rpt
icc_shell> sh gvim reports/dtmf_check_design_import.rpt
icc_shell> check_timing > reports/dtmf_check_timing_import.rpt
icc_shell> sh gvim reports/dtmf_check_timing_import.rpt
icc_shell> check_design > reports/dtmf_check_design_import.rpt
icc_shell> sh gvim reports/dtmf_check_design_import.rpt
icc_shell> check_timing > reports/dtmf_check_timing_import.rpt
icc_shell> sh gvim reports/dtmf_check_timing_import.rpt
icc_shell> sh gvim reports/dtmf_check_timing_import.rpt
icc_shell> sh gvim reports/dtmf_check_timing_import.rpt
icc_shell> exit

Thank you...

You might also like