You are on page 1of 56

EE 120 LECTURE SIMULATION PROJECT

RESISTOR BAND GENERATOR

OCTALMOLOGISTS

CRUZ, ZELIENA DOMINIQUE J. ___________________________

GRAFANE, DEUS LEAN B. ___________________________

MANUZON, JOHN ERICKSSON M. ___________________________

SUBMITTED TO: DATE SUBMITTED: November 29, 2019

ASST. PROF. ANNIE LIZA C. PINTOR DATE DUE: November 29, 2019
I. PROJECT DESCRIPTION

The resistor band generator simulation will compute and show the resistance value
of the resistor given that the user will input its color bands. The user has the choice to
input either a 4-band resistor or a 5-band resistor. The limitation of the simulation is that
the tolerance value of the resistor is not accounted.

II. BLOCK DIAGRAM


III. DESCRIPTION OF BLOCKS

• This block will identify the colour that the user will click.

• A. Identifier- This block will convert the color to its corresponding numerical
value

• Counter- This block will count the number of inputs the user has already placed.
• Magnitude Comparator - This block will set the limit for the user input.
• This block will accept digits in bcd and will yield to output used for segment to
display the numerical value.

• This block will add the total number of 4 bands or 5 bands that the simulation
program has generated.

IV. ASSUMPTIONS
The resistor has perfect resistance values; thus the tolerance will not be
accounted.
V. CLC DESIGN
1) 2 BITS TO 7 SEGMENT DISPLAY

A) Truth table

W X Y a b c d e f g
0 0 0 1 1 1 1 1 1 0
0 0 1 0 1 1 0 0 0 0
0 1 0 1 1 0 1 1 0 1
0 1 1 1 1 1 1 0 0 1
1 0 0 0 1 1 0 0 1 1
1 0 1 1 0 1 1 0 1 1
1 1 0 0 0 1 1 1 1 1
1 1 1 1 1 1 0 0 0 1

B) K-maps
b.1) k-map for output a
b.4) k-map for output d

Equation:
b.2) k-map for output b Equation:
b.5) k-map for output e

Equation:
b.3) k-map for output c Equation:
b.6) k-map for output f

Equation:
Equation: Equation:
b.7) k-map for output g

C) CLC Circuit
2) DECODER

A) Truth table

A B X Y Z
0 0 0 0 1
0 1 0 1 0
1 0 0 1 1
1 1 1 0 1

B) K-maps
b.1) k-map for output X

Equation:
b.3) k-map for output Z

Equation:

b.2) k-map for output Y


Equation:

C) CLC Circuit
3) 10-4 Decoder
A) Truth table

A A2 C D E F G H I J W X Y Z
0 0 0 0 0 0 0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 0 1 1 0 0 1
0 0 0 0 0 0 0 0 1 0 1 0 0 0
0 0 0 0 0 0 0 0 1 1 1 0 0 0
0 0 0 0 0 0 0 1 0 0 0 1 1 1
0 0 0 0 0 0 0 1 0 1 0 0 0 1
0 0 0 0 0 0 0 1 1 0 0 0 0 0
0 0 0 0 0 0 0 1 1 1 0 0 0 0
0 0 0 0 0 0 1 0 0 0 0 1 1 0
0 0 0 0 0 0 1 0 0 1 0 0 0 0
0 0 0 0 0 0 1 0 1 0 0 0 0 0
0 0 0 0 0 0 1 0 1 1 0 0 0 0
0 0 0 0 0 0 1 1 0 0 0 1 1 0
0 0 0 0 0 0 1 1 0 1 0 0 0 0
0 0 0 0 0 0 1 1 1 0 0 0 0 0
0 0 0 0 0 0 1 1 1 1 0 0 0 0
0 0 0 0 0 1 0 0 0 0 0 1 0 1
0 0 0 0 0 1 0 0 0 1 0 0 0 1
0 0 0 0 0 1 0 0 1 0 0 0 0 0
0 0 0 0 0 1 0 0 1 1 0 0 0 0
0 0 0 0 0 1 0 1 0 0 0 1 0 1
0 0 0 0 0 1 0 1 0 1 0 0 0 1
0 0 0 0 0 1 0 1 1 0 0 0 0 0
0 0 0 0 0 1 0 1 1 1 0 0 0 0
0 0 0 0 0 1 1 0 0 0 0 1 0 0
0 0 0 0 0 1 1 0 0 1 0 0 0 0
0 0 0 0 0 1 1 0 1 0 0 0 0 0
0 0 0 0 0 1 1 0 1 1 0 0 0 0
0 0 0 0 0 1 1 1 0 0 0 1 0 0
0 0 0 0 0 1 1 1 0 1 0 0 0 0
0 0 0 0 0 1 1 1 1 0 0 0 0 0
0 0 0 0 0 1 1 1 1 1 0 0 0 0
0 0 0 0 1 0 0 0 0 0 0 1 0 0
0 0 0 0 1 0 0 0 0 1 0 0 0 0
0 0 0 0 1 0 0 0 1 0 0 0 0 0
0 0 0 0 1 0 0 0 1 1 0 0 0 0
0 0 0 0 1 0 0 1 0 0 0 1 0 0
0 0 0 0 1 0 0 1 0 1 0 0 0 0
0 0 0 0 1 0 0 1 1 0 0 0 0 0
0 0 0 0 1 0 0 1 1 1 0 0 0 0
0 0 0 0 1 0 1 0 0 0 0 1 0 0
0 0 0 0 1 0 1 0 0 1 0 0 0 0
0 0 0 0 1 0 1 0 1 0 0 0 0 0
0 0 0 0 1 0 1 0 1 1 0 0 0 0
0 0 0 0 1 0 1 1 0 0 0 1 0 0
0 0 0 0 1 0 1 1 0 1 0 0 0 0
0 0 0 0 1 0 1 1 1 0 0 0 0 0
0 0 0 0 1 0 1 1 1 1 0 0 0 0
0 0 0 0 1 1 0 0 0 0 0 1 0 0
0 0 0 0 1 1 0 0 0 1 0 0 0 0
0 0 0 0 1 1 0 0 1 0 0 0 0 0
0 0 0 0 1 1 0 0 1 1 0 0 0 0
0 0 0 0 1 1 0 1 0 0 0 1 0 0
0 0 0 0 1 1 0 1 0 1 0 0 0 0
0 0 0 0 1 1 0 1 1 0 0 0 0 0
0 0 0 0 1 1 0 1 1 1 0 0 0 0
0 0 0 0 1 1 1 0 0 0 0 1 0 0
0 0 0 0 1 1 1 0 0 1 0 0 0 0
0 0 0 0 1 1 1 0 1 0 0 0 0 0
0 0 0 0 1 1 1 0 1 1 0 0 0 0
0 0 0 0 1 1 1 1 0 0 0 1 0 0
0 0 0 0 1 1 1 1 0 1 0 0 0 0
0 0 0 0 1 1 1 1 1 0 0 0 0 0
0 0 0 0 1 1 1 1 1 1 0 0 0 0
0 0 0 1 0 0 0 0 0 0 0 0 1 1
0 0 0 1 0 0 0 0 0 1 0 0 0 1
0 0 0 1 0 0 0 0 1 0 0 0 0 0
0 0 0 1 0 0 0 0 1 1 0 0 0 0
0 0 0 1 0 0 0 1 0 0 0 0 1 1
0 0 0 1 0 0 0 1 0 1 0 0 0 1
0 0 0 1 0 0 0 1 1 0 0 0 0 0
0 0 0 1 0 0 0 1 1 1 0 0 0 0
0 0 0 1 0 0 1 0 0 0 0 0 1 0
0 0 0 1 0 0 1 0 0 1 0 0 0 0
0 0 0 1 0 0 1 0 1 0 0 0 0 0
0 0 0 1 0 0 1 0 1 1 0 0 0 0
0 0 0 1 0 0 1 1 0 0 0 0 1 0
0 0 0 1 0 0 1 1 0 1 0 0 0 0
0 0 0 1 0 0 1 1 1 0 0 0 0 0
0 0 0 1 0 0 1 1 1 1 0 0 0 0
0 0 0 1 0 1 0 0 0 0 0 0 0 1
0 0 0 1 0 1 0 0 0 1 0 0 0 1
0 0 0 1 0 1 0 0 1 0 0 0 0 0
0 0 0 1 0 1 0 0 1 1 0 0 0 0
0 0 0 1 0 1 0 1 0 0 0 0 0 1
0 0 0 1 0 1 0 1 0 1 0 0 0 1
0 0 0 1 0 1 0 1 1 0 0 0 0 0
0 0 0 1 0 1 0 1 1 1 0 0 0 0
0 0 0 1 0 1 1 0 0 0 0 0 0 0
0 0 0 1 0 1 1 0 0 1 0 0 0 0
0 0 0 1 0 1 1 0 1 0 0 0 0 0
0 0 0 1 0 1 1 0 1 1 0 0 0 0
0 0 0 1 0 1 1 1 0 0 0 0 0 0
0 0 0 1 0 1 1 1 0 1 0 0 0 0
0 0 0 1 0 1 1 1 1 0 0 0 0 0
0 0 0 1 0 1 1 1 1 1 0 0 0 0
0 0 0 1 1 0 0 0 0 0 0 0 0 0
0 0 0 1 1 0 0 0 0 1 0 0 0 0
0 0 0 1 1 0 0 0 1 0 0 0 0 0
0 0 0 1 1 0 0 0 1 1 0 0 0 0
0 0 0 1 1 0 0 1 0 0 0 0 0 0
0 0 0 1 1 0 0 1 0 1 0 0 0 0
0 0 0 1 1 0 0 1 1 0 0 0 0 0
0 0 0 1 1 0 0 1 1 1 0 0 0 0
0 0 0 1 1 0 1 0 0 0 0 0 0 0
0 0 0 1 1 0 1 0 0 1 0 0 0 0
0 0 0 1 1 0 1 0 1 0 0 0 0 0
0 0 0 1 1 0 1 0 1 1 0 0 0 0
0 0 0 1 1 0 1 1 0 0 0 0 0 0
0 0 0 1 1 0 1 1 0 1 0 0 0 0
0 0 0 1 1 0 1 1 1 0 0 0 0 0
0 0 0 1 1 0 1 1 1 1 0 0 0 0
0 0 0 1 1 1 0 0 0 0 0 0 0 0
0 0 0 1 1 1 0 0 0 1 0 0 0 0
0 0 0 1 1 1 0 0 1 0 0 0 0 0
0 0 0 1 1 1 0 0 1 1 0 0 0 0
0 0 0 1 1 1 0 1 0 0 0 0 0 0
0 0 0 1 1 1 0 1 0 1 0 0 0 0
0 0 0 1 1 1 0 1 1 0 0 0 0 0
0 0 0 1 1 1 0 1 1 1 0 0 0 0
0 0 0 1 1 1 1 0 0 0 0 0 0 0
0 0 0 1 1 1 1 0 0 1 0 0 0 0
0 0 0 1 1 1 1 0 1 0 0 0 0 0
0 0 0 1 1 1 1 0 1 1 0 0 0 0
0 0 0 1 1 1 1 1 0 0 0 0 0 0
0 0 0 1 1 1 1 1 0 1 0 0 0 0
0 0 0 1 1 1 1 1 1 0 0 0 0 0
0 0 0 1 1 1 1 1 1 1 0 0 0 0
0 0 1 0 0 0 0 0 0 0 0 0 1 0
0 0 1 0 0 0 0 0 0 1 0 0 0 0
0 0 1 0 0 0 0 0 1 0 0 0 0 0
0 0 1 0 0 0 0 0 1 1 0 0 0 0
0 0 1 0 0 0 0 1 0 0 0 0 1 0
0 0 1 0 0 0 0 1 0 1 0 0 0 0
0 0 1 0 0 0 0 1 1 0 0 0 0 0
0 0 1 0 0 0 0 1 1 1 0 0 0 0
0 0 1 0 0 0 1 0 0 0 0 0 1 0
0 0 1 0 0 0 1 0 0 1 0 0 0 0
0 0 1 0 0 0 1 0 1 0 0 0 0 0
0 0 1 0 0 0 1 0 1 1 0 0 0 0
0 0 1 0 0 0 1 1 0 0 0 0 1 0
0 0 1 0 0 0 1 1 0 1 0 0 0 0
0 0 1 0 0 0 1 1 1 0 0 0 0 0
0 0 1 0 0 0 1 1 1 1 0 0 0 0
0 0 1 0 0 1 0 0 0 0 0 0 0 0
0 0 1 0 0 1 0 0 0 1 0 0 0 0
0 0 1 0 0 1 0 0 1 0 0 0 0 0
0 0 1 0 0 1 0 0 1 1 0 0 0 0
0 0 1 0 0 1 0 1 0 0 0 0 0 0
0 0 1 0 0 1 0 1 0 1 0 0 0 0
0 0 1 0 0 1 0 1 1 0 0 0 0 0
0 0 1 0 0 1 0 1 1 1 0 0 0 0
0 0 1 0 0 1 1 0 0 0 0 0 0 0
0 0 1 0 0 1 1 0 0 1 0 0 0 0
0 0 1 0 0 1 1 0 1 0 0 0 0 0
0 0 1 0 0 1 1 0 1 1 0 0 0 0
0 0 1 0 0 1 1 1 0 0 0 0 0 0
0 0 1 0 0 1 1 1 0 1 0 0 0 0
0 0 1 0 0 1 1 1 1 0 0 0 0 0
0 0 1 0 0 1 1 1 1 1 0 0 0 0
0 0 1 0 1 0 0 0 0 0 0 0 0 0
0 0 1 0 1 0 0 0 0 1 0 0 0 0
0 0 1 0 1 0 0 0 1 0 0 0 0 0
0 0 1 0 1 0 0 0 1 1 0 0 0 0
0 0 1 0 1 0 0 1 0 0 0 0 0 0
0 0 1 0 1 0 0 1 0 1 0 0 0 0
0 0 1 0 1 0 0 1 1 0 0 0 0 0
0 0 1 0 1 0 0 1 1 1 0 0 0 0
0 0 1 0 1 0 1 0 0 0 0 0 0 0
0 0 1 0 1 0 1 0 0 1 0 0 0 0
0 0 1 0 1 0 1 0 1 0 0 0 0 0
0 0 1 0 1 0 1 0 1 1 0 0 0 0
0 0 1 0 1 0 1 1 0 0 0 0 0 0
0 0 1 0 1 0 1 1 0 1 0 0 0 0
0 0 1 0 1 0 1 1 1 0 0 0 0 0
0 0 1 0 1 0 1 1 1 1 0 0 0 0
0 0 1 0 1 1 0 0 0 0 0 0 0 0
0 0 1 0 1 1 0 0 0 1 0 0 0 0
0 0 1 0 1 1 0 0 1 0 0 0 0 0
0 0 1 0 1 1 0 0 1 1 0 0 0 0
0 0 1 0 1 1 0 1 0 0 0 0 0 0
0 0 1 0 1 1 0 1 0 1 0 0 0 0
0 0 1 0 1 1 0 1 1 0 0 0 0 0
0 0 1 0 1 1 0 1 1 1 0 0 0 0
0 0 1 0 1 1 1 0 0 0 0 0 0 0
0 0 1 0 1 1 1 0 0 1 0 0 0 0
0 0 1 0 1 1 1 0 1 0 0 0 0 0
0 0 1 0 1 1 1 0 1 1 0 0 0 0
0 0 1 0 1 1 1 1 0 0 0 0 0 0
0 0 1 0 1 1 1 1 0 1 0 0 0 0
0 0 1 0 1 1 1 1 1 0 0 0 0 0
0 0 1 0 1 1 1 1 1 1 0 0 0 0
0 0 1 1 0 0 0 0 0 0 0 0 1 0
0 0 1 1 0 0 0 0 0 1 0 0 0 0
0 0 1 1 0 0 0 0 1 0 0 0 0 0
0 0 1 1 0 0 0 0 1 1 0 0 0 0
0 0 1 1 0 0 0 1 0 0 0 0 1 0
0 0 1 1 0 0 0 1 0 1 0 0 0 0
0 0 1 1 0 0 0 1 1 0 0 0 0 0
0 0 1 1 0 0 0 1 1 1 0 0 0 0
0 0 1 1 0 0 1 0 0 0 0 0 1 0
0 0 1 1 0 0 1 0 0 1 0 0 0 0
0 0 1 1 0 0 1 0 1 0 0 0 0 0
0 0 1 1 0 0 1 0 1 1 0 0 0 0
0 0 1 1 0 0 1 1 0 0 0 0 1 0
0 0 1 1 0 0 1 1 0 1 0 0 0 0
0 0 1 1 0 0 1 1 1 0 0 0 0 0
0 0 1 1 0 0 1 1 1 1 0 0 0 0
0 0 1 1 0 1 0 0 0 0 0 0 0 0
0 0 1 1 0 1 0 0 0 1 0 0 0 0
0 0 1 1 0 1 0 0 1 0 0 0 0 0
0 0 1 1 0 1 0 0 1 1 0 0 0 0
0 0 1 1 0 1 0 1 0 0 0 0 0 0
0 0 1 1 0 1 0 1 0 1 0 0 0 0
0 0 1 1 0 1 0 1 1 0 0 0 0 0
0 0 1 1 0 1 0 1 1 1 0 0 0 0
0 0 1 1 0 1 1 0 0 0 0 0 0 0
0 0 1 1 0 1 1 0 0 1 0 0 0 0
0 0 1 1 0 1 1 0 1 0 0 0 0 0
0 0 1 1 0 1 1 0 1 1 0 0 0 0
0 0 1 1 0 1 1 1 0 0 0 0 0 0
0 0 1 1 0 1 1 1 0 1 0 0 0 0
0 0 1 1 0 1 1 1 1 0 0 0 0 0
0 0 1 1 0 1 1 1 1 1 0 0 0 0
0 0 1 1 1 0 0 0 0 0 0 0 0 0
0 0 1 1 1 0 0 0 0 1 0 0 0 0
0 0 1 1 1 0 0 0 1 0 0 0 0 0
0 0 1 1 1 0 0 0 1 1 0 0 0 0
0 0 1 1 1 0 0 1 0 0 0 0 0 0
0 0 1 1 1 0 0 1 0 1 0 0 0 0
0 0 1 1 1 0 0 1 1 0 0 0 0 0
0 0 1 1 1 0 0 1 1 1 0 0 0 0
0 0 1 1 1 0 1 0 0 0 0 0 0 0
0 0 1 1 1 0 1 0 0 1 0 0 0 0
0 0 1 1 1 0 1 0 1 0 0 0 0 0
0 0 1 1 1 0 1 0 1 1 0 0 0 0
0 0 1 1 1 0 1 1 0 0 0 0 0 0
0 0 1 1 1 0 1 1 0 1 0 0 0 0
0 0 1 1 1 0 1 1 1 0 0 0 0 0
0 0 1 1 1 0 1 1 1 1 0 0 0 0
0 0 1 1 1 1 0 0 0 0 0 0 0 0
0 0 1 1 1 1 0 0 0 1 0 0 0 0
0 0 1 1 1 1 0 0 1 0 0 0 0 0
0 0 1 1 1 1 0 0 1 1 0 0 0 0
0 0 1 1 1 1 0 1 0 0 0 0 0 0
0 0 1 1 1 1 0 1 0 1 0 0 0 0
0 0 1 1 1 1 0 1 1 0 0 0 0 0
0 0 1 1 1 1 0 1 1 1 0 0 0 0
0 0 1 1 1 1 1 0 0 0 0 0 0 0
0 0 1 1 1 1 1 0 0 1 0 0 0 0
0 0 1 1 1 1 1 0 1 0 0 0 0 0
0 0 1 1 1 1 1 0 1 1 0 0 0 0
0 0 1 1 1 1 1 1 0 0 0 0 0 0
0 0 1 1 1 1 1 1 0 1 0 0 0 0
0 0 1 1 1 1 1 1 1 0 0 0 0 0
0 0 1 1 1 1 1 1 1 1 0 0 0 0
0 1 0 0 0 0 0 0 0 0 0 0 0 1
0 1 0 0 0 0 0 0 0 1 0 0 0 1
0 1 0 0 0 0 0 0 1 0 0 0 0 0
0 1 0 0 0 0 0 0 1 1 0 0 0 0
0 1 0 0 0 0 0 1 0 0 0 0 0 1
0 1 0 0 0 0 0 1 0 1 0 0 0 1
0 1 0 0 0 0 0 1 1 0 0 0 0 0
0 1 0 0 0 0 0 1 1 1 0 0 0 0
0 1 0 0 0 0 1 0 0 0 0 0 0 0
0 1 0 0 0 0 1 0 0 1 0 0 0 0
0 1 0 0 0 0 1 0 1 0 0 0 0 0
0 1 0 0 0 0 1 0 1 1 0 0 0 0
0 1 0 0 0 0 1 1 0 0 0 0 0 0
0 1 0 0 0 0 1 1 0 1 0 0 0 0
0 1 0 0 0 0 1 1 1 0 0 0 0 0
0 1 0 0 0 0 1 1 1 1 0 0 0 0
0 1 0 0 0 1 0 0 0 0 0 0 0 1
0 1 0 0 0 1 0 0 0 1 0 0 0 1
0 1 0 0 0 1 0 0 1 0 0 0 0 0
0 1 0 0 0 1 0 0 1 1 0 0 0 0
0 1 0 0 0 1 0 1 0 0 0 0 0 1
0 1 0 0 0 1 0 1 0 1 0 0 0 1
0 1 0 0 0 1 0 1 1 0 0 0 0 0
0 1 0 0 0 1 0 1 1 1 0 0 0 0
0 1 0 0 0 1 1 0 0 0 0 0 0 0
0 1 0 0 0 1 1 0 0 1 0 0 0 0
0 1 0 0 0 1 1 0 1 0 0 0 0 0
0 1 0 0 0 1 1 0 1 1 0 0 0 0
0 1 0 0 0 1 1 1 0 0 0 0 0 0
0 1 0 0 0 1 1 1 0 1 0 0 0 0
0 1 0 0 0 1 1 1 1 0 0 0 0 0
0 1 0 0 0 1 1 1 1 1 0 0 0 0
0 1 0 0 1 0 0 0 0 0 0 0 0 0
0 1 0 0 1 0 0 0 0 1 0 0 0 0
0 1 0 0 1 0 0 0 1 0 0 0 0 0
0 1 0 0 1 0 0 0 1 1 0 0 0 0
0 1 0 0 1 0 0 1 0 0 0 0 0 0
0 1 0 0 1 0 0 1 0 1 0 0 0 0
0 1 0 0 1 0 0 1 1 0 0 0 0 0
0 1 0 0 1 0 0 1 1 1 0 0 0 0
0 1 0 0 1 0 1 0 0 0 0 0 0 0
0 1 0 0 1 0 1 0 0 1 0 0 0 0
0 1 0 0 1 0 1 0 1 0 0 0 0 0
0 1 0 0 1 0 1 0 1 1 0 0 0 0
0 1 0 0 1 0 1 1 0 0 0 0 0 0
0 1 0 0 1 0 1 1 0 1 0 0 0 0
0 1 0 0 1 0 1 1 1 0 0 0 0 0
0 1 0 0 1 0 1 1 1 1 0 0 0 0
0 1 0 0 1 1 0 0 0 0 0 0 0 0
0 1 0 0 1 1 0 0 0 1 0 0 0 0
0 1 0 0 1 1 0 0 1 0 0 0 0 0
0 1 0 0 1 1 0 0 1 1 0 0 0 0
0 1 0 0 1 1 0 1 0 0 0 0 0 0
0 1 0 0 1 1 0 1 0 1 0 0 0 0
0 1 0 0 1 1 0 1 1 0 0 0 0 0
0 1 0 0 1 1 0 1 1 1 0 0 0 0
0 1 0 0 1 1 1 0 0 0 0 0 0 0
0 1 0 0 1 1 1 0 0 1 0 0 0 0
0 1 0 0 1 1 1 0 1 0 0 0 0 0
0 1 0 0 1 1 1 0 1 1 0 0 0 0
0 1 0 0 1 1 1 1 0 0 0 0 0 0
0 1 0 0 1 1 1 1 0 1 0 0 0 0
0 1 0 0 1 1 1 1 1 0 0 0 0 0
0 1 0 0 1 1 1 1 1 1 0 0 0 0
0 1 0 1 0 0 0 0 0 0 0 0 0 1
0 1 0 1 0 0 0 0 0 1 0 0 0 1
0 1 0 1 0 0 0 0 1 0 0 0 0 0
0 1 0 1 0 0 0 0 1 1 0 0 0 0
0 1 0 1 0 0 0 1 0 0 0 0 0 1
0 1 0 1 0 0 0 1 0 1 0 0 0 1
0 1 0 1 0 0 0 1 1 0 0 0 0 0
0 1 0 1 0 0 0 1 1 1 0 0 0 0
0 1 0 1 0 0 1 0 0 0 0 0 0 0
0 1 0 1 0 0 1 0 0 1 0 0 0 0
0 1 0 1 0 0 1 0 1 0 0 0 0 0
0 1 0 1 0 0 1 0 1 1 0 0 0 0
0 1 0 1 0 0 1 1 0 0 0 0 0 0
0 1 0 1 0 0 1 1 0 1 0 0 0 0
0 1 0 1 0 0 1 1 1 0 0 0 0 0
0 1 0 1 0 0 1 1 1 1 0 0 0 0
0 1 0 1 0 1 0 0 0 0 0 0 0 1
0 1 0 1 0 1 0 0 0 1 0 0 0 1
0 1 0 1 0 1 0 0 1 0 0 0 0 0
0 1 0 1 0 1 0 0 1 1 0 0 0 0
0 1 0 1 0 1 0 1 0 0 0 0 0 1
0 1 0 1 0 1 0 1 0 1 0 0 0 1
0 1 0 1 0 1 0 1 1 0 0 0 0 0
0 1 0 1 0 1 0 1 1 1 0 0 0 0
0 1 0 1 0 1 1 0 0 0 0 0 0 0
0 1 0 1 0 1 1 0 0 1 0 0 0 0
0 1 0 1 0 1 1 0 1 0 0 0 0 0
0 1 0 1 0 1 1 0 1 1 0 0 0 0
0 1 0 1 0 1 1 1 0 0 0 0 0 0
0 1 0 1 0 1 1 1 0 1 0 0 0 0
0 1 0 1 0 1 1 1 1 0 0 0 0 0
0 1 0 1 0 1 1 1 1 1 0 0 0 0
0 1 0 1 1 0 0 0 0 0 0 0 0 0
0 1 0 1 1 0 0 0 0 1 0 0 0 0
0 1 0 1 1 0 0 0 1 0 0 0 0 0
0 1 0 1 1 0 0 0 1 1 0 0 0 0
0 1 0 1 1 0 0 1 0 0 0 0 0 0
0 1 0 1 1 0 0 1 0 1 0 0 0 0
0 1 0 1 1 0 0 1 1 0 0 0 0 0
0 1 0 1 1 0 0 1 1 1 0 0 0 0
0 1 0 1 1 0 1 0 0 0 0 0 0 0
0 1 0 1 1 0 1 0 0 1 0 0 0 0
0 1 0 1 1 0 1 0 1 0 0 0 0 0
0 1 0 1 1 0 1 0 1 1 0 0 0 0
0 1 0 1 1 0 1 1 0 0 0 0 0 0
0 1 0 1 1 0 1 1 0 1 0 0 0 0
0 1 0 1 1 0 1 1 1 0 0 0 0 0
0 1 0 1 1 0 1 1 1 1 0 0 0 0
0 1 0 1 1 1 0 0 0 0 0 0 0 0
0 1 0 1 1 1 0 0 0 1 0 0 0 0
0 1 0 1 1 1 0 0 1 0 0 0 0 0
0 1 0 1 1 1 0 0 1 1 0 0 0 0
0 1 0 1 1 1 0 1 0 0 0 0 0 0
0 1 0 1 1 1 0 1 0 1 0 0 0 0
0 1 0 1 1 1 0 1 1 0 0 0 0 0
0 1 0 1 1 1 0 1 1 1 0 0 0 0
0 1 0 1 1 1 1 0 0 0 0 0 0 0
0 1 0 1 1 1 1 0 0 1 0 0 0 0
0 1 0 1 1 1 1 0 1 0 0 0 0 0
0 1 0 1 1 1 1 0 1 1 0 0 0 0
0 1 0 1 1 1 1 1 0 0 0 0 0 0
0 1 0 1 1 1 1 1 0 1 0 0 0 0
0 1 0 1 1 1 1 1 1 0 0 0 0 0
0 1 0 1 1 1 1 1 1 1 0 0 0 0
0 1 1 0 0 0 0 0 0 0 0 0 0 0
0 1 1 0 0 0 0 0 0 1 0 0 0 0
0 1 1 0 0 0 0 0 1 0 0 0 0 0
0 1 1 0 0 0 0 0 1 1 0 0 0 0
0 1 1 0 0 0 0 1 0 0 0 0 0 0
0 1 1 0 0 0 0 1 0 1 0 0 0 0
0 1 1 0 0 0 0 1 1 0 0 0 0 0
0 1 1 0 0 0 0 1 1 1 0 0 0 0
0 1 1 0 0 0 1 0 0 0 0 0 0 0
0 1 1 0 0 0 1 0 0 1 0 0 0 0
0 1 1 0 0 0 1 0 1 0 0 0 0 0
0 1 1 0 0 0 1 0 1 1 0 0 0 0
0 1 1 0 0 0 1 1 0 0 0 0 0 0
0 1 1 0 0 0 1 1 0 1 0 0 0 0
0 1 1 0 0 0 1 1 1 0 0 0 0 0
0 1 1 0 0 0 1 1 1 1 0 0 0 0
0 1 1 0 0 1 0 0 0 0 0 0 0 0
0 1 1 0 0 1 0 0 0 1 0 0 0 0
0 1 1 0 0 1 0 0 1 0 0 0 0 0
0 1 1 0 0 1 0 0 1 1 0 0 0 0
0 1 1 0 0 1 0 1 0 0 0 0 0 0
0 1 1 0 0 1 0 1 0 1 0 0 0 0
0 1 1 0 0 1 0 1 1 0 0 0 0 0
0 1 1 0 0 1 0 1 1 1 0 0 0 0
0 1 1 0 0 1 1 0 0 0 0 0 0 0
0 1 1 0 0 1 1 0 0 1 0 0 0 0
0 1 1 0 0 1 1 0 1 0 0 0 0 0
0 1 1 0 0 1 1 0 1 1 0 0 0 0
0 1 1 0 0 1 1 1 0 0 0 0 0 0
0 1 1 0 0 1 1 1 0 1 0 0 0 0
0 1 1 0 0 1 1 1 1 0 0 0 0 0
0 1 1 0 0 1 1 1 1 1 0 0 0 0
0 1 1 0 1 0 0 0 0 0 0 0 0 0
0 1 1 0 1 0 0 0 0 1 0 0 0 0
0 1 1 0 1 0 0 0 1 0 0 0 0 0
0 1 1 0 1 0 0 0 1 1 0 0 0 0
0 1 1 0 1 0 0 1 0 0 0 0 0 0
0 1 1 0 1 0 0 1 0 1 0 0 0 0
0 1 1 0 1 0 0 1 1 0 0 0 0 0
0 1 1 0 1 0 0 1 1 1 0 0 0 0
0 1 1 0 1 0 1 0 0 0 0 0 0 0
0 1 1 0 1 0 1 0 0 1 0 0 0 0
0 1 1 0 1 0 1 0 1 0 0 0 0 0
0 1 1 0 1 0 1 0 1 1 0 0 0 0
0 1 1 0 1 0 1 1 0 0 0 0 0 0
0 1 1 0 1 0 1 1 0 1 0 0 0 0
0 1 1 0 1 0 1 1 1 0 0 0 0 0
0 1 1 0 1 0 1 1 1 1 0 0 0 0
0 1 1 0 1 1 0 0 0 0 0 0 0 0
0 1 1 0 1 1 0 0 0 1 0 0 0 0
0 1 1 0 1 1 0 0 1 0 0 0 0 0
0 1 1 0 1 1 0 0 1 1 0 0 0 0
0 1 1 0 1 1 0 1 0 0 0 0 0 0
0 1 1 0 1 1 0 1 0 1 0 0 0 0
0 1 1 0 1 1 0 1 1 0 0 0 0 0
0 1 1 0 1 1 0 1 1 1 0 0 0 0
0 1 1 0 1 1 1 0 0 0 0 0 0 0
0 1 1 0 1 1 1 0 0 1 0 0 0 0
0 1 1 0 1 1 1 0 1 0 0 0 0 0
0 1 1 0 1 1 1 0 1 1 0 0 0 0
0 1 1 0 1 1 1 1 0 0 0 0 0 0
0 1 1 0 1 1 1 1 0 1 0 0 0 0
0 1 1 0 1 1 1 1 1 0 0 0 0 0
0 1 1 0 1 1 1 1 1 1 0 0 0 0
0 1 1 1 0 0 0 0 0 0 0 0 0 0
0 1 1 1 0 0 0 0 0 1 0 0 0 0
0 1 1 1 0 0 0 0 1 0 0 0 0 0
0 1 1 1 0 0 0 0 1 1 0 0 0 0
0 1 1 1 0 0 0 1 0 0 0 0 0 0
0 1 1 1 0 0 0 1 0 1 0 0 0 0
0 1 1 1 0 0 0 1 1 0 0 0 0 0
0 1 1 1 0 0 0 1 1 1 0 0 0 0
0 1 1 1 0 0 1 0 0 0 0 0 0 0
0 1 1 1 0 0 1 0 0 1 0 0 0 0
0 1 1 1 0 0 1 0 1 0 0 0 0 0
0 1 1 1 0 0 1 0 1 1 0 0 0 0
0 1 1 1 0 0 1 1 0 0 0 0 0 0
0 1 1 1 0 0 1 1 0 1 0 0 0 0
0 1 1 1 0 0 1 1 1 0 0 0 0 0
0 1 1 1 0 0 1 1 1 1 0 0 0 0
0 1 1 1 0 1 0 0 0 0 0 0 0 0
0 1 1 1 0 1 0 0 0 1 0 0 0 0
0 1 1 1 0 1 0 0 1 0 0 0 0 0
0 1 1 1 0 1 0 0 1 1 0 0 0 0
0 1 1 1 0 1 0 1 0 0 0 0 0 0
0 1 1 1 0 1 0 1 0 1 0 0 0 0
0 1 1 1 0 1 0 1 1 0 0 0 0 0
0 1 1 1 0 1 0 1 1 1 0 0 0 0
0 1 1 1 0 1 1 0 0 0 0 0 0 0
0 1 1 1 0 1 1 0 0 1 0 0 0 0
0 1 1 1 0 1 1 0 1 0 0 0 0 0
0 1 1 1 0 1 1 0 1 1 0 0 0 0
0 1 1 1 0 1 1 1 0 0 0 0 0 0
0 1 1 1 0 1 1 1 0 1 0 0 0 0
0 1 1 1 0 1 1 1 1 0 0 0 0 0
0 1 1 1 0 1 1 1 1 1 0 0 0 0
0 1 1 1 1 0 0 0 0 0 0 0 0 0
0 1 1 1 1 0 0 0 0 1 0 0 0 0
0 1 1 1 1 0 0 0 1 0 0 0 0 0
0 1 1 1 1 0 0 0 1 1 0 0 0 0
0 1 1 1 1 0 0 1 0 0 0 0 0 0
0 1 1 1 1 0 0 1 0 1 0 0 0 0
0 1 1 1 1 0 0 1 1 0 0 0 0 0
0 1 1 1 1 0 0 1 1 1 0 0 0 0
0 1 1 1 1 0 1 0 0 0 0 0 0 0
0 1 1 1 1 0 1 0 0 1 0 0 0 0
0 1 1 1 1 0 1 0 1 0 0 0 0 0
0 1 1 1 1 0 1 0 1 1 0 0 0 0
0 1 1 1 1 0 1 1 0 0 0 0 0 0
0 1 1 1 1 0 1 1 0 1 0 0 0 0
0 1 1 1 1 0 1 1 1 0 0 0 0 0
0 1 1 1 1 0 1 1 1 1 0 0 0 0
0 1 1 1 1 1 0 0 0 0 0 0 0 0
0 1 1 1 1 1 0 0 0 1 0 0 0 0
0 1 1 1 1 1 0 0 1 0 0 0 0 0
0 1 1 1 1 1 0 0 1 1 0 0 0 0
0 1 1 1 1 1 0 1 0 0 0 0 0 0
0 1 1 1 1 1 0 1 0 1 0 0 0 0
0 1 1 1 1 1 0 1 1 0 0 0 0 0
0 1 1 1 1 1 0 1 1 1 0 0 0 0
0 1 1 1 1 1 1 0 0 0 0 0 0 0
0 1 1 1 1 1 1 0 0 1 0 0 0 0
0 1 1 1 1 1 1 0 1 0 0 0 0 0
0 1 1 1 1 1 1 0 1 1 0 0 0 0
0 1 1 1 1 1 1 1 0 0 0 0 0 0
0 1 1 1 1 1 1 1 0 1 0 0 0 0
0 1 1 1 1 1 1 1 1 0 0 0 0 0
0 1 1 1 1 1 1 1 1 1 0 0 0 0
1 0 0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 1 0 0 0 0
1 0 0 0 0 0 0 0 1 0 0 0 0 0
1 0 0 0 0 0 0 0 1 1 0 0 0 0
1 0 0 0 0 0 0 1 0 0 0 0 0 0
1 0 0 0 0 0 0 1 0 1 0 0 0 0
1 0 0 0 0 0 0 1 1 0 0 0 0 0
1 0 0 0 0 0 0 1 1 1 0 0 0 0
1 0 0 0 0 0 1 0 0 0 0 0 0 0
1 0 0 0 0 0 1 0 0 1 0 0 0 0
1 0 0 0 0 0 1 0 1 0 0 0 0 0
1 0 0 0 0 0 1 0 1 1 0 0 0 0
1 0 0 0 0 0 1 1 0 0 0 0 0 0
1 0 0 0 0 0 1 1 0 1 0 0 0 0
1 0 0 0 0 0 1 1 1 0 0 0 0 0
1 0 0 0 0 0 1 1 1 1 0 0 0 0
1 0 0 0 0 1 0 0 0 0 0 0 0 0
1 0 0 0 0 1 0 0 0 1 0 0 0 0
1 0 0 0 0 1 0 0 1 0 0 0 0 0
1 0 0 0 0 1 0 0 1 1 0 0 0 0
1 0 0 0 0 1 0 1 0 0 0 0 0 0
1 0 0 0 0 1 0 1 0 1 0 0 0 0
1 0 0 0 0 1 0 1 1 0 0 0 0 0
1 0 0 0 0 1 0 1 1 1 0 0 0 0
1 0 0 0 0 1 1 0 0 0 0 0 0 0
1 0 0 0 0 1 1 0 0 1 0 0 0 0
1 0 0 0 0 1 1 0 1 0 0 0 0 0
1 0 0 0 0 1 1 0 1 1 0 0 0 0
1 0 0 0 0 1 1 1 0 0 0 0 0 0
1 0 0 0 0 1 1 1 0 1 0 0 0 0
1 0 0 0 0 1 1 1 1 0 0 0 0 0
1 0 0 0 0 1 1 1 1 1 0 0 0 0
1 0 0 0 1 0 0 0 0 0 0 0 0 0
1 0 0 0 1 0 0 0 0 1 0 0 0 0
1 0 0 0 1 0 0 0 1 0 0 0 0 0
1 0 0 0 1 0 0 0 1 1 0 0 0 0
1 0 0 0 1 0 0 1 0 0 0 0 0 0
1 0 0 0 1 0 0 1 0 1 0 0 0 0
1 0 0 0 1 0 0 1 1 0 0 0 0 0
1 0 0 0 1 0 0 1 1 1 0 0 0 0
1 0 0 0 1 0 1 0 0 0 0 0 0 0
1 0 0 0 1 0 1 0 0 1 0 0 0 0
1 0 0 0 1 0 1 0 1 0 0 0 0 0
1 0 0 0 1 0 1 0 1 1 0 0 0 0
1 0 0 0 1 0 1 1 0 0 0 0 0 0
1 0 0 0 1 0 1 1 0 1 0 0 0 0
1 0 0 0 1 0 1 1 1 0 0 0 0 0
1 0 0 0 1 0 1 1 1 1 0 0 0 0
1 0 0 0 1 1 0 0 0 0 0 0 0 0
1 0 0 0 1 1 0 0 0 1 0 0 0 0
1 0 0 0 1 1 0 0 1 0 0 0 0 0
1 0 0 0 1 1 0 0 1 1 0 0 0 0
1 0 0 0 1 1 0 1 0 0 0 0 0 0
1 0 0 0 1 1 0 1 0 1 0 0 0 0
1 0 0 0 1 1 0 1 1 0 0 0 0 0
1 0 0 0 1 1 0 1 1 1 0 0 0 0
1 0 0 0 1 1 1 0 0 0 0 0 0 0
1 0 0 0 1 1 1 0 0 1 0 0 0 0
1 0 0 0 1 1 1 0 1 0 0 0 0 0
1 0 0 0 1 1 1 0 1 1 0 0 0 0
1 0 0 0 1 1 1 1 0 0 0 0 0 0
1 0 0 0 1 1 1 1 0 1 0 0 0 0
1 0 0 0 1 1 1 1 1 0 0 0 0 0
1 0 0 0 1 1 1 1 1 1 0 0 0 0
1 0 0 1 0 0 0 0 0 0 0 0 0 0
1 0 0 1 0 0 0 0 0 1 0 0 0 0
1 0 0 1 0 0 0 0 1 0 0 0 0 0
1 0 0 1 0 0 0 0 1 1 0 0 0 0
1 0 0 1 0 0 0 1 0 0 0 0 0 0
1 0 0 1 0 0 0 1 0 1 0 0 0 0
1 0 0 1 0 0 0 1 1 0 0 0 0 0
1 0 0 1 0 0 0 1 1 1 0 0 0 0
1 0 0 1 0 0 1 0 0 0 0 0 0 0
1 0 0 1 0 0 1 0 0 1 0 0 0 0
1 0 0 1 0 0 1 0 1 0 0 0 0 0
1 0 0 1 0 0 1 0 1 1 0 0 0 0
1 0 0 1 0 0 1 1 0 0 0 0 0 0
1 0 0 1 0 0 1 1 0 1 0 0 0 0
1 0 0 1 0 0 1 1 1 0 0 0 0 0
1 0 0 1 0 0 1 1 1 1 0 0 0 0
1 0 0 1 0 1 0 0 0 0 0 0 0 0
1 0 0 1 0 1 0 0 0 1 0 0 0 0
1 0 0 1 0 1 0 0 1 0 0 0 0 0
1 0 0 1 0 1 0 0 1 1 0 0 0 0
1 0 0 1 0 1 0 1 0 0 0 0 0 0
1 0 0 1 0 1 0 1 0 1 0 0 0 0
1 0 0 1 0 1 0 1 1 0 0 0 0 0
1 0 0 1 0 1 0 1 1 1 0 0 0 0
1 0 0 1 0 1 1 0 0 0 0 0 0 0
1 0 0 1 0 1 1 0 0 1 0 0 0 0
1 0 0 1 0 1 1 0 1 0 0 0 0 0
1 0 0 1 0 1 1 0 1 1 0 0 0 0
1 0 0 1 0 1 1 1 0 0 0 0 0 0
1 0 0 1 0 1 1 1 0 1 0 0 0 0
1 0 0 1 0 1 1 1 1 0 0 0 0 0
1 0 0 1 0 1 1 1 1 1 0 0 0 0
1 0 0 1 1 0 0 0 0 0 0 0 0 0
1 0 0 1 1 0 0 0 0 1 0 0 0 0
1 0 0 1 1 0 0 0 1 0 0 0 0 0
1 0 0 1 1 0 0 0 1 1 0 0 0 0
1 0 0 1 1 0 0 1 0 0 0 0 0 0
1 0 0 1 1 0 0 1 0 1 0 0 0 0
1 0 0 1 1 0 0 1 1 0 0 0 0 0
1 0 0 1 1 0 0 1 1 1 0 0 0 0
1 0 0 1 1 0 1 0 0 0 0 0 0 0
1 0 0 1 1 0 1 0 0 1 0 0 0 0
1 0 0 1 1 0 1 0 1 0 0 0 0 0
1 0 0 1 1 0 1 0 1 1 0 0 0 0
1 0 0 1 1 0 1 1 0 0 0 0 0 0
1 0 0 1 1 0 1 1 0 1 0 0 0 0
1 0 0 1 1 0 1 1 1 0 0 0 0 0
1 0 0 1 1 0 1 1 1 1 0 0 0 0
1 0 0 1 1 1 0 0 0 0 0 0 0 0
1 0 0 1 1 1 0 0 0 1 0 0 0 0
1 0 0 1 1 1 0 0 1 0 0 0 0 0
1 0 0 1 1 1 0 0 1 1 0 0 0 0
1 0 0 1 1 1 0 1 0 0 0 0 0 0
1 0 0 1 1 1 0 1 0 1 0 0 0 0
1 0 0 1 1 1 0 1 1 0 0 0 0 0
1 0 0 1 1 1 0 1 1 1 0 0 0 0
1 0 0 1 1 1 1 0 0 0 0 0 0 0
1 0 0 1 1 1 1 0 0 1 0 0 0 0
1 0 0 1 1 1 1 0 1 0 0 0 0 0
1 0 0 1 1 1 1 0 1 1 0 0 0 0
1 0 0 1 1 1 1 1 0 0 0 0 0 0
1 0 0 1 1 1 1 1 0 1 0 0 0 0
1 0 0 1 1 1 1 1 1 0 0 0 0 0
1 0 0 1 1 1 1 1 1 1 0 0 0 0
1 0 1 0 0 0 0 0 0 0 0 0 0 0
1 0 1 0 0 0 0 0 0 1 0 0 0 0
1 0 1 0 0 0 0 0 1 0 0 0 0 0
1 0 1 0 0 0 0 0 1 1 0 0 0 0
1 0 1 0 0 0 0 1 0 0 0 0 0 0
1 0 1 0 0 0 0 1 0 1 0 0 0 0
1 0 1 0 0 0 0 1 1 0 0 0 0 0
1 0 1 0 0 0 0 1 1 1 0 0 0 0
1 0 1 0 0 0 1 0 0 0 0 0 0 0
1 0 1 0 0 0 1 0 0 1 0 0 0 0
1 0 1 0 0 0 1 0 1 0 0 0 0 0
1 0 1 0 0 0 1 0 1 1 0 0 0 0
1 0 1 0 0 0 1 1 0 0 0 0 0 0
1 0 1 0 0 0 1 1 0 1 0 0 0 0
1 0 1 0 0 0 1 1 1 0 0 0 0 0
1 0 1 0 0 0 1 1 1 1 0 0 0 0
1 0 1 0 0 1 0 0 0 0 0 0 0 0
1 0 1 0 0 1 0 0 0 1 0 0 0 0
1 0 1 0 0 1 0 0 1 0 0 0 0 0
1 0 1 0 0 1 0 0 1 1 0 0 0 0
1 0 1 0 0 1 0 1 0 0 0 0 0 0
1 0 1 0 0 1 0 1 0 1 0 0 0 0
1 0 1 0 0 1 0 1 1 0 0 0 0 0
1 0 1 0 0 1 0 1 1 1 0 0 0 0
1 0 1 0 0 1 1 0 0 0 0 0 0 0
1 0 1 0 0 1 1 0 0 1 0 0 0 0
1 0 1 0 0 1 1 0 1 0 0 0 0 0
1 0 1 0 0 1 1 0 1 1 0 0 0 0
1 0 1 0 0 1 1 1 0 0 0 0 0 0
1 0 1 0 0 1 1 1 0 1 0 0 0 0
1 0 1 0 0 1 1 1 1 0 0 0 0 0
1 0 1 0 0 1 1 1 1 1 0 0 0 0
1 0 1 0 1 0 0 0 0 0 0 0 0 0
1 0 1 0 1 0 0 0 0 1 0 0 0 0
1 0 1 0 1 0 0 0 1 0 0 0 0 0
1 0 1 0 1 0 0 0 1 1 0 0 0 0
1 0 1 0 1 0 0 1 0 0 0 0 0 0
1 0 1 0 1 0 0 1 0 1 0 0 0 0
1 0 1 0 1 0 0 1 1 0 0 0 0 0
1 0 1 0 1 0 0 1 1 1 0 0 0 0
1 0 1 0 1 0 1 0 0 0 0 0 0 0
1 0 1 0 1 0 1 0 0 1 0 0 0 0
1 0 1 0 1 0 1 0 1 0 0 0 0 0
1 0 1 0 1 0 1 0 1 1 0 0 0 0
1 0 1 0 1 0 1 1 0 0 0 0 0 0
1 0 1 0 1 0 1 1 0 1 0 0 0 0
1 0 1 0 1 0 1 1 1 0 0 0 0 0
1 0 1 0 1 0 1 1 1 1 0 0 0 0
1 0 1 0 1 1 0 0 0 0 0 0 0 0
1 0 1 0 1 1 0 0 0 1 0 0 0 0
1 0 1 0 1 1 0 0 1 0 0 0 0 0
1 0 1 0 1 1 0 0 1 1 0 0 0 0
1 0 1 0 1 1 0 1 0 0 0 0 0 0
1 0 1 0 1 1 0 1 0 1 0 0 0 0
1 0 1 0 1 1 0 1 1 0 0 0 0 0
1 0 1 0 1 1 0 1 1 1 0 0 0 0
1 0 1 0 1 1 1 0 0 0 0 0 0 0
1 0 1 0 1 1 1 0 0 1 0 0 0 0
1 0 1 0 1 1 1 0 1 0 0 0 0 0
1 0 1 0 1 1 1 0 1 1 0 0 0 0
1 0 1 0 1 1 1 1 0 0 0 0 0 0
1 0 1 0 1 1 1 1 0 1 0 0 0 0
1 0 1 0 1 1 1 1 1 0 0 0 0 0
1 0 1 0 1 1 1 1 1 1 0 0 0 0
1 0 1 1 0 0 0 0 0 0 0 0 0 0
1 0 1 1 0 0 0 0 0 1 0 0 0 0
1 0 1 1 0 0 0 0 1 0 0 0 0 0
1 0 1 1 0 0 0 0 1 1 0 0 0 0
1 0 1 1 0 0 0 1 0 0 0 0 0 0
1 0 1 1 0 0 0 1 0 1 0 0 0 0
1 0 1 1 0 0 0 1 1 0 0 0 0 0
1 0 1 1 0 0 0 1 1 1 0 0 0 0
1 0 1 1 0 0 1 0 0 0 0 0 0 0
1 0 1 1 0 0 1 0 0 1 0 0 0 0
1 0 1 1 0 0 1 0 1 0 0 0 0 0
1 0 1 1 0 0 1 0 1 1 0 0 0 0
1 0 1 1 0 0 1 1 0 0 0 0 0 0
1 0 1 1 0 0 1 1 0 1 0 0 0 0
1 0 1 1 0 0 1 1 1 0 0 0 0 0
1 0 1 1 0 0 1 1 1 1 0 0 0 0
1 0 1 1 0 1 0 0 0 0 0 0 0 0
1 0 1 1 0 1 0 0 0 1 0 0 0 0
1 0 1 1 0 1 0 0 1 0 0 0 0 0
1 0 1 1 0 1 0 0 1 1 0 0 0 0
1 0 1 1 0 1 0 1 0 0 0 0 0 0
1 0 1 1 0 1 0 1 0 1 0 0 0 0
1 0 1 1 0 1 0 1 1 0 0 0 0 0
1 0 1 1 0 1 0 1 1 1 0 0 0 0
1 0 1 1 0 1 1 0 0 0 0 0 0 0
1 0 1 1 0 1 1 0 0 1 0 0 0 0
1 0 1 1 0 1 1 0 1 0 0 0 0 0
1 0 1 1 0 1 1 0 1 1 0 0 0 0
1 0 1 1 0 1 1 1 0 0 0 0 0 0
1 0 1 1 0 1 1 1 0 1 0 0 0 0
1 0 1 1 0 1 1 1 1 0 0 0 0 0
1 0 1 1 0 1 1 1 1 1 0 0 0 0
1 0 1 1 1 0 0 0 0 0 0 0 0 0
1 0 1 1 1 0 0 0 0 1 0 0 0 0
1 0 1 1 1 0 0 0 1 0 0 0 0 0
1 0 1 1 1 0 0 0 1 1 0 0 0 0
1 0 1 1 1 0 0 1 0 0 0 0 0 0
1 0 1 1 1 0 0 1 0 1 0 0 0 0
1 0 1 1 1 0 0 1 1 0 0 0 0 0
1 0 1 1 1 0 0 1 1 1 0 0 0 0
1 0 1 1 1 0 1 0 0 0 0 0 0 0
1 0 1 1 1 0 1 0 0 1 0 0 0 0
1 0 1 1 1 0 1 0 1 0 0 0 0 0
1 0 1 1 1 0 1 0 1 1 0 0 0 0
1 0 1 1 1 0 1 1 0 0 0 0 0 0
1 0 1 1 1 0 1 1 0 1 0 0 0 0
1 0 1 1 1 0 1 1 1 0 0 0 0 0
1 0 1 1 1 0 1 1 1 1 0 0 0 0
1 0 1 1 1 1 0 0 0 0 0 0 0 0
1 0 1 1 1 1 0 0 0 1 0 0 0 0
1 0 1 1 1 1 0 0 1 0 0 0 0 0
1 0 1 1 1 1 0 0 1 1 0 0 0 0
1 0 1 1 1 1 0 1 0 0 0 0 0 0
1 0 1 1 1 1 0 1 0 1 0 0 0 0
1 0 1 1 1 1 0 1 1 0 0 0 0 0
1 0 1 1 1 1 0 1 1 1 0 0 0 0
1 0 1 1 1 1 1 0 0 0 0 0 0 0
1 0 1 1 1 1 1 0 0 1 0 0 0 0
1 0 1 1 1 1 1 0 1 0 0 0 0 0
1 0 1 1 1 1 1 0 1 1 0 0 0 0
1 0 1 1 1 1 1 1 0 0 0 0 0 0
1 0 1 1 1 1 1 1 0 1 0 0 0 0
1 0 1 1 1 1 1 1 1 0 0 0 0 0
1 0 1 1 1 1 1 1 1 1 0 0 0 0
1 1 0 0 0 0 0 0 0 0 0 0 0 0
1 1 0 0 0 0 0 0 0 1 0 0 0 0
1 1 0 0 0 0 0 0 1 0 0 0 0 0
1 1 0 0 0 0 0 0 1 1 0 0 0 0
1 1 0 0 0 0 0 1 0 0 0 0 0 0
1 1 0 0 0 0 0 1 0 1 0 0 0 0
1 1 0 0 0 0 0 1 1 0 0 0 0 0
1 1 0 0 0 0 0 1 1 1 0 0 0 0
1 1 0 0 0 0 1 0 0 0 0 0 0 0
1 1 0 0 0 0 1 0 0 1 0 0 0 0
1 1 0 0 0 0 1 0 1 0 0 0 0 0
1 1 0 0 0 0 1 0 1 1 0 0 0 0
1 1 0 0 0 0 1 1 0 0 0 0 0 0
1 1 0 0 0 0 1 1 0 1 0 0 0 0
1 1 0 0 0 0 1 1 1 0 0 0 0 0
1 1 0 0 0 0 1 1 1 1 0 0 0 0
1 1 0 0 0 1 0 0 0 0 0 0 0 0
1 1 0 0 0 1 0 0 0 1 0 0 0 0
1 1 0 0 0 1 0 0 1 0 0 0 0 0
1 1 0 0 0 1 0 0 1 1 0 0 0 0
1 1 0 0 0 1 0 1 0 0 0 0 0 0
1 1 0 0 0 1 0 1 0 1 0 0 0 0
1 1 0 0 0 1 0 1 1 0 0 0 0 0
1 1 0 0 0 1 0 1 1 1 0 0 0 0
1 1 0 0 0 1 1 0 0 0 0 0 0 0
1 1 0 0 0 1 1 0 0 1 0 0 0 0
1 1 0 0 0 1 1 0 1 0 0 0 0 0
1 1 0 0 0 1 1 0 1 1 0 0 0 0
1 1 0 0 0 1 1 1 0 0 0 0 0 0
1 1 0 0 0 1 1 1 0 1 0 0 0 0
1 1 0 0 0 1 1 1 1 0 0 0 0 0
1 1 0 0 0 1 1 1 1 1 0 0 0 0
1 1 0 0 1 0 0 0 0 0 0 0 0 0
1 1 0 0 1 0 0 0 0 1 0 0 0 0
1 1 0 0 1 0 0 0 1 0 0 0 0 0
1 1 0 0 1 0 0 0 1 1 0 0 0 0
1 1 0 0 1 0 0 1 0 0 0 0 0 0
1 1 0 0 1 0 0 1 0 1 0 0 0 0
1 1 0 0 1 0 0 1 1 0 0 0 0 0
1 1 0 0 1 0 0 1 1 1 0 0 0 0
1 1 0 0 1 0 1 0 0 0 0 0 0 0
1 1 0 0 1 0 1 0 0 1 0 0 0 0
1 1 0 0 1 0 1 0 1 0 0 0 0 0
1 1 0 0 1 0 1 0 1 1 0 0 0 0
1 1 0 0 1 0 1 1 0 0 0 0 0 0
1 1 0 0 1 0 1 1 0 1 0 0 0 0
1 1 0 0 1 0 1 1 1 0 0 0 0 0
1 1 0 0 1 0 1 1 1 1 0 0 0 0
1 1 0 0 1 1 0 0 0 0 0 0 0 0
1 1 0 0 1 1 0 0 0 1 0 0 0 0
1 1 0 0 1 1 0 0 1 0 0 0 0 0
1 1 0 0 1 1 0 0 1 1 0 0 0 0
1 1 0 0 1 1 0 1 0 0 0 0 0 0
1 1 0 0 1 1 0 1 0 1 0 0 0 0
1 1 0 0 1 1 0 1 1 0 0 0 0 0
1 1 0 0 1 1 0 1 1 1 0 0 0 0
1 1 0 0 1 1 1 0 0 0 0 0 0 0
1 1 0 0 1 1 1 0 0 1 0 0 0 0
1 1 0 0 1 1 1 0 1 0 0 0 0 0
1 1 0 0 1 1 1 0 1 1 0 0 0 0
1 1 0 0 1 1 1 1 0 0 0 0 0 0
1 1 0 0 1 1 1 1 0 1 0 0 0 0
1 1 0 0 1 1 1 1 1 0 0 0 0 0
1 1 0 0 1 1 1 1 1 1 0 0 0 0
1 1 0 1 0 0 0 0 0 0 0 0 0 0
1 1 0 1 0 0 0 0 0 1 0 0 0 0
1 1 0 1 0 0 0 0 1 0 0 0 0 0
1 1 0 1 0 0 0 0 1 1 0 0 0 0
1 1 0 1 0 0 0 1 0 0 0 0 0 0
1 1 0 1 0 0 0 1 0 1 0 0 0 0
1 1 0 1 0 0 0 1 1 0 0 0 0 0
1 1 0 1 0 0 0 1 1 1 0 0 0 0
1 1 0 1 0 0 1 0 0 0 0 0 0 0
1 1 0 1 0 0 1 0 0 1 0 0 0 0
1 1 0 1 0 0 1 0 1 0 0 0 0 0
1 1 0 1 0 0 1 0 1 1 0 0 0 0
1 1 0 1 0 0 1 1 0 0 0 0 0 0
1 1 0 1 0 0 1 1 0 1 0 0 0 0
1 1 0 1 0 0 1 1 1 0 0 0 0 0
1 1 0 1 0 0 1 1 1 1 0 0 0 0
1 1 0 1 0 1 0 0 0 0 0 0 0 0
1 1 0 1 0 1 0 0 0 1 0 0 0 0
1 1 0 1 0 1 0 0 1 0 0 0 0 0
1 1 0 1 0 1 0 0 1 1 0 0 0 0
1 1 0 1 0 1 0 1 0 0 0 0 0 0
1 1 0 1 0 1 0 1 0 1 0 0 0 0
1 1 0 1 0 1 0 1 1 0 0 0 0 0
1 1 0 1 0 1 0 1 1 1 0 0 0 0
1 1 0 1 0 1 1 0 0 0 0 0 0 0
1 1 0 1 0 1 1 0 0 1 0 0 0 0
1 1 0 1 0 1 1 0 1 0 0 0 0 0
1 1 0 1 0 1 1 0 1 1 0 0 0 0
1 1 0 1 0 1 1 1 0 0 0 0 0 0
1 1 0 1 0 1 1 1 0 1 0 0 0 0
1 1 0 1 0 1 1 1 1 0 0 0 0 0
1 1 0 1 0 1 1 1 1 1 0 0 0 0
1 1 0 1 1 0 0 0 0 0 0 0 0 0
1 1 0 1 1 0 0 0 0 1 0 0 0 0
1 1 0 1 1 0 0 0 1 0 0 0 0 0
1 1 0 1 1 0 0 0 1 1 0 0 0 0
1 1 0 1 1 0 0 1 0 0 0 0 0 0
1 1 0 1 1 0 0 1 0 1 0 0 0 0
1 1 0 1 1 0 0 1 1 0 0 0 0 0
1 1 0 1 1 0 0 1 1 1 0 0 0 0
1 1 0 1 1 0 1 0 0 0 0 0 0 0
1 1 0 1 1 0 1 0 0 1 0 0 0 0
1 1 0 1 1 0 1 0 1 0 0 0 0 0
1 1 0 1 1 0 1 0 1 1 0 0 0 0
1 1 0 1 1 0 1 1 0 0 0 0 0 0
1 1 0 1 1 0 1 1 0 1 0 0 0 0
1 1 0 1 1 0 1 1 1 0 0 0 0 0
1 1 0 1 1 0 1 1 1 1 0 0 0 0
1 1 0 1 1 1 0 0 0 0 0 0 0 0
1 1 0 1 1 1 0 0 0 1 0 0 0 0
1 1 0 1 1 1 0 0 1 0 0 0 0 0
1 1 0 1 1 1 0 0 1 1 0 0 0 0
1 1 0 1 1 1 0 1 0 0 0 0 0 0
1 1 0 1 1 1 0 1 0 1 0 0 0 0
1 1 0 1 1 1 0 1 1 0 0 0 0 0
1 1 0 1 1 1 0 1 1 1 0 0 0 0
1 1 0 1 1 1 1 0 0 0 0 0 0 0
1 1 0 1 1 1 1 0 0 1 0 0 0 0
1 1 0 1 1 1 1 0 1 0 0 0 0 0
1 1 0 1 1 1 1 0 1 1 0 0 0 0
1 1 0 1 1 1 1 1 0 0 0 0 0 0
1 1 0 1 1 1 1 1 0 1 0 0 0 0
1 1 0 1 1 1 1 1 1 0 0 0 0 0
1 1 0 1 1 1 1 1 1 1 0 0 0 0
1 1 1 0 0 0 0 0 0 0 0 0 0 0
1 1 1 0 0 0 0 0 0 1 0 0 0 0
1 1 1 0 0 0 0 0 1 0 0 0 0 0
1 1 1 0 0 0 0 0 1 1 0 0 0 0
1 1 1 0 0 0 0 1 0 0 0 0 0 0
1 1 1 0 0 0 0 1 0 1 0 0 0 0
1 1 1 0 0 0 0 1 1 0 0 0 0 0
1 1 1 0 0 0 0 1 1 1 0 0 0 0
1 1 1 0 0 0 1 0 0 0 0 0 0 0
1 1 1 0 0 0 1 0 0 1 0 0 0 0
1 1 1 0 0 0 1 0 1 0 0 0 0 0
1 1 1 0 0 0 1 0 1 1 0 0 0 0
1 1 1 0 0 0 1 1 0 0 0 0 0 0
1 1 1 0 0 0 1 1 0 1 0 0 0 0
1 1 1 0 0 0 1 1 1 0 0 0 0 0
1 1 1 0 0 0 1 1 1 1 0 0 0 0
1 1 1 0 0 1 0 0 0 0 0 0 0 0
1 1 1 0 0 1 0 0 0 1 0 0 0 0
1 1 1 0 0 1 0 0 1 0 0 0 0 0
1 1 1 0 0 1 0 0 1 1 0 0 0 0
1 1 1 0 0 1 0 1 0 0 0 0 0 0
1 1 1 0 0 1 0 1 0 1 0 0 0 0
1 1 1 0 0 1 0 1 1 0 0 0 0 0
1 1 1 0 0 1 0 1 1 1 0 0 0 0
1 1 1 0 0 1 1 0 0 0 0 0 0 0
1 1 1 0 0 1 1 0 0 1 0 0 0 0
1 1 1 0 0 1 1 0 1 0 0 0 0 0
1 1 1 0 0 1 1 0 1 1 0 0 0 0
1 1 1 0 0 1 1 1 0 0 0 0 0 0
1 1 1 0 0 1 1 1 0 1 0 0 0 0
1 1 1 0 0 1 1 1 1 0 0 0 0 0
1 1 1 0 0 1 1 1 1 1 0 0 0 0
1 1 1 0 1 0 0 0 0 0 0 0 0 0
1 1 1 0 1 0 0 0 0 1 0 0 0 0
1 1 1 0 1 0 0 0 1 0 0 0 0 0
1 1 1 0 1 0 0 0 1 1 0 0 0 0
1 1 1 0 1 0 0 1 0 0 0 0 0 0
1 1 1 0 1 0 0 1 0 1 0 0 0 0
1 1 1 0 1 0 0 1 1 0 0 0 0 0
1 1 1 0 1 0 0 1 1 1 0 0 0 0
1 1 1 0 1 0 1 0 0 0 0 0 0 0
1 1 1 0 1 0 1 0 0 1 0 0 0 0
1 1 1 0 1 0 1 0 1 0 0 0 0 0
1 1 1 0 1 0 1 0 1 1 0 0 0 0
1 1 1 0 1 0 1 1 0 0 0 0 0 0
1 1 1 0 1 0 1 1 0 1 0 0 0 0
1 1 1 0 1 0 1 1 1 0 0 0 0 0
1 1 1 0 1 0 1 1 1 1 0 0 0 0
1 1 1 0 1 1 0 0 0 0 0 0 0 0
1 1 1 0 1 1 0 0 0 1 0 0 0 0
1 1 1 0 1 1 0 0 1 0 0 0 0 0
1 1 1 0 1 1 0 0 1 1 0 0 0 0
1 1 1 0 1 1 0 1 0 0 0 0 0 0
1 1 1 0 1 1 0 1 0 1 0 0 0 0
1 1 1 0 1 1 0 1 1 0 0 0 0 0
1 1 1 0 1 1 0 1 1 1 0 0 0 0
1 1 1 0 1 1 1 0 0 0 0 0 0 0
1 1 1 0 1 1 1 0 0 1 0 0 0 0
1 1 1 0 1 1 1 0 1 0 0 0 0 0
1 1 1 0 1 1 1 0 1 1 0 0 0 0
1 1 1 0 1 1 1 1 0 0 0 0 0 0
1 1 1 0 1 1 1 1 0 1 0 0 0 0
1 1 1 0 1 1 1 1 1 0 0 0 0 0
1 1 1 0 1 1 1 1 1 1 0 0 0 0
1 1 1 1 0 0 0 0 0 0 0 0 0 0
1 1 1 1 0 0 0 0 0 1 0 0 0 0
1 1 1 1 0 0 0 0 1 0 0 0 0 0
1 1 1 1 0 0 0 0 1 1 0 0 0 0
1 1 1 1 0 0 0 1 0 0 0 0 0 0
1 1 1 1 0 0 0 1 0 1 0 0 0 0
1 1 1 1 0 0 0 1 1 0 0 0 0 0
1 1 1 1 0 0 0 1 1 1 0 0 0 0
1 1 1 1 0 0 1 0 0 0 0 0 0 0
1 1 1 1 0 0 1 0 0 1 0 0 0 0
1 1 1 1 0 0 1 0 1 0 0 0 0 0
1 1 1 1 0 0 1 0 1 1 0 0 0 0
1 1 1 1 0 0 1 1 0 0 0 0 0 0
1 1 1 1 0 0 1 1 0 1 0 0 0 0
1 1 1 1 0 0 1 1 1 0 0 0 0 0
1 1 1 1 0 0 1 1 1 1 0 0 0 0
1 1 1 1 0 1 0 0 0 0 0 0 0 0
1 1 1 1 0 1 0 0 0 1 0 0 0 0
1 1 1 1 0 1 0 0 1 0 0 0 0 0
1 1 1 1 0 1 0 0 1 1 0 0 0 0
1 1 1 1 0 1 0 1 0 0 0 0 0 0
1 1 1 1 0 1 0 1 0 1 0 0 0 0
1 1 1 1 0 1 0 1 1 0 0 0 0 0
1 1 1 1 0 1 0 1 1 1 0 0 0 0
1 1 1 1 0 1 1 0 0 0 0 0 0 0
1 1 1 1 0 1 1 0 0 1 0 0 0 0
1 1 1 1 0 1 1 0 1 0 0 0 0 0
1 1 1 1 0 1 1 0 1 1 0 0 0 0
1 1 1 1 0 1 1 1 0 0 0 0 0 0
1 1 1 1 0 1 1 1 0 1 0 0 0 0
1 1 1 1 0 1 1 1 1 0 0 0 0 0
1 1 1 1 0 1 1 1 1 1 0 0 0 0
1 1 1 1 1 0 0 0 0 0 0 0 0 0
1 1 1 1 1 0 0 0 0 1 0 0 0 0
1 1 1 1 1 0 0 0 1 0 0 0 0 0
1 1 1 1 1 0 0 0 1 1 0 0 0 0
1 1 1 1 1 0 0 1 0 0 0 0 0 0
1 1 1 1 1 0 0 1 0 1 0 0 0 0
1 1 1 1 1 0 0 1 1 0 0 0 0 0
1 1 1 1 1 0 0 1 1 1 0 0 0 0
1 1 1 1 1 0 1 0 0 0 0 0 0 0
1 1 1 1 1 0 1 0 0 1 0 0 0 0
1 1 1 1 1 0 1 0 1 0 0 0 0 0
1 1 1 1 1 0 1 0 1 1 0 0 0 0
1 1 1 1 1 0 1 1 0 0 0 0 0 0
1 1 1 1 1 0 1 1 0 1 0 0 0 0
1 1 1 1 1 0 1 1 1 0 0 0 0 0
1 1 1 1 1 0 1 1 1 1 0 0 0 0
1 1 1 1 1 1 0 0 0 0 0 0 0 0
1 1 1 1 1 1 0 0 0 1 0 0 0 0
1 1 1 1 1 1 0 0 1 0 0 0 0 0
1 1 1 1 1 1 0 0 1 1 0 0 0 0
1 1 1 1 1 1 0 1 0 0 0 0 0 0
1 1 1 1 1 1 0 1 0 1 0 0 0 0
1 1 1 1 1 1 0 1 1 0 0 0 0 0
1 1 1 1 1 1 0 1 1 1 0 0 0 0
1 1 1 1 1 1 1 0 0 0 0 0 0 0
1 1 1 1 1 1 1 0 0 1 0 0 0 0
1 1 1 1 1 1 1 0 1 0 0 0 0 0
1 1 1 1 1 1 1 0 1 1 0 0 0 0
1 1 1 1 1 1 1 1 0 0 0 0 0 0
1 1 1 1 1 1 1 1 0 1 0 0 0 0
1 1 1 1 1 1 1 1 1 0 0 0 0 0
1 1 1 1 1 1 1 1 1 1 0 0 0 0

b.3) Output of Y
B) Equations Equation:
b.1) Output of W
b.4) Output of Z
Equation:
Equation:
b.2) Output of X
Equation:

C) CLC Circuit

4) MOD 10 TO 7 SEGMENT
A) TRUTH TABLE

W X Y Z a b c d e f g
0 0 0 0 1 1 1 1 1 1 0
0 0 0 1 0 1 1 0 0 0 0
0 0 1 0 1 1 0 1 1 0 1
0 0 1 1 1 1 1 1 0 0 1
0 1 0 0 0 1 1 0 0 1 1
0 1 0 1 1 0 1 1 0 1 1
0 1 1 0 0 0 1 1 1 1 1
0 1 1 1 1 1 1 0 0 0 0
1 0 0 0 1 1 1 1 1 1 1
1 0 0 1 1 1 1 0 0 1 1
1 0 1 0 1 1 0 1 1 1 1
1 0 1 1 1 1 1 1 0 1 1
1 1 0 0 1 1 1 0 0 1 1
1 1 0 1 1 0 1 1 0 1 1
1 1 1 0 1 0 1 1 1 1 1
1 1 1 1 1 1 1 0 0 1 1

B) K-MAPS
b.1) Output of a
b.4) Output of d

Equation:
Equation:
b.2) Output of b
` b.5) Output of e

Equation:
b.3) Output of c Equation:
b.6) Output of e

Equation:

Equation:
b.8) Output of g
b.7) Output of f

Equation:
Equation:
C) CLC Circuit
5) Compare 0
A. TRUTH TABLE B) K-MAPS

A6 A5 A4 AB b.1) Output of AB
0 0 0 1
0 0 1 0
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 0
Equation:
1 1 1 0

C) CLC Circuit
5. Compare to 1 B ) K-MAPS
A) TRUTH TABLE b.1) Output of AB
A6 A5 A4 AB
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 0
1 1 1 0 Equation:

C. CLC Circuit
6. Compare to 2 B. K-MAP
A TRUTH TABLE b.1) Output of AB

A6 A5 A4 AB
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 0
1 0 0 0
1 0 1 0
1 1 0 0 Equation :
1 1 1 0

C. CLC circuit
7. Compare to 3 B. K-MAP
A. TRUTH TABLE b.1) Output AB

A6 A5 A4 AB
0 0 0 0
0 0 1 0
0 1 0 0
0 1 1 1
1 0 0 0
1 0 1 0 Equation:
1 1 0 1
1 1 1 0

C. CLC CIRCUIT
8.Adder
A. TRUTH TABLE

B C B2 A H D E F G z y t u
0 0 0 0 0 0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 1 1 0 0 0
0 0 0 0 0 0 0 1 0 0 0 0 1
0 0 0 0 0 0 0 1 1 1 0 0 1
0 0 0 0 0 0 1 0 0 0 1 0 0
0 0 0 0 0 0 1 0 1 1 1 0 0
0 0 0 0 0 0 1 1 0 0 1 0 1
0 0 0 0 0 0 1 1 1 1 1 0 1
0 0 0 0 0 1 0 0 0 0 0 1 0
0 0 0 0 0 1 0 0 1 1 0 1 0
0 0 0 0 0 1 0 1 0 0 0 1 1
0 0 0 0 0 1 0 1 1 1 0 1 1
0 0 0 0 0 1 1 0 0 0 1 1 0
0 0 0 0 0 1 1 0 1 1 1 1 0
0 0 0 0 0 1 1 1 0 0 1 1 1
0 0 0 0 0 1 1 1 1 1 1 1 1
0 0 0 0 1 0 0 0 0 1 0 0 0
0 0 0 0 1 0 0 0 1 0 0 0 1
0 0 0 0 1 0 0 1 0 1 0 0 1
0 0 0 0 1 0 0 1 1 0 1 0 0
0 0 0 0 1 0 1 0 0 1 1 0 0
0 0 0 0 1 0 1 0 1 0 1 0 1
0 0 0 0 1 0 1 1 0 1 1 0 1
0 0 0 0 1 0 1 1 1 0 0 1 0
0 0 0 0 1 1 0 0 0 1 0 1 0
0 0 0 0 1 1 0 0 1 0 0 1 1
0 0 0 0 1 1 0 1 0 1 0 1 1
0 0 0 0 1 1 0 1 1 0 1 1 0
0 0 0 0 1 1 1 0 0 1 1 1 0
0 0 0 0 1 1 1 0 1 0 1 1 1
0 0 0 0 1 1 1 1 0 1 1 1 1
0 0 0 0 1 1 1 1 1 0 0 0 0
0 0 0 1 0 0 0 0 0 1 0 0 0
0 0 0 1 0 0 0 0 1 0 0 0 1
0 0 0 1 0 0 0 1 0 1 0 0 1
0 0 0 1 0 0 0 1 1 0 1 0 0
0 0 0 1 0 0 1 0 0 1 1 0 0
0 0 0 1 0 0 1 0 1 0 1 0 1
0 0 0 1 0 0 1 1 0 1 1 0 1
0 0 0 1 0 0 1 1 1 0 0 1 0
0 0 0 1 0 1 0 0 0 1 0 1 0
0 0 0 1 0 1 0 0 1 0 0 1 1
0 0 0 1 0 1 0 1 0 1 0 1 1
0 0 0 1 0 1 0 1 1 0 1 1 0
0 0 0 1 0 1 1 0 0 1 1 1 0
0 0 0 1 0 1 1 0 1 0 1 1 1
0 0 0 1 0 1 1 1 0 1 1 1 1
0 0 0 1 0 1 1 1 1 0 0 0 0
0 0 0 1 1 0 0 0 0 0 0 0 1
0 0 0 1 1 0 0 0 1 1 0 0 1
0 0 0 1 1 0 0 1 0 0 1 0 0
0 0 0 1 1 0 0 1 1 1 1 0 0
0 0 0 1 1 0 1 0 0 0 1 0 1
0 0 0 1 1 0 1 0 1 1 1 0 1
0 0 0 1 1 0 1 1 0 0 0 1 0
0 0 0 1 1 0 1 1 1 1 0 1 0
0 0 0 1 1 1 0 0 0 0 0 1 1
0 0 0 1 1 1 0 0 1 1 0 1 1
0 0 0 1 1 1 0 1 0 0 1 1 0
0 0 0 1 1 1 0 1 1 1 1 1 0
0 0 0 1 1 1 1 0 0 0 1 1 1
0 0 0 1 1 1 1 0 1 1 1 1 1
0 0 0 1 1 1 1 1 0 0 0 0 0
0 0 0 1 1 1 1 1 1 1 0 0 0
0 0 1 0 0 0 0 0 0 0 0 0 1
0 0 1 0 0 0 0 0 1 1 0 0 1
0 0 1 0 0 0 0 1 0 0 1 0 0
0 0 1 0 0 0 0 1 1 1 1 0 0
0 0 1 0 0 0 1 0 0 0 1 0 1
0 0 1 0 0 0 1 0 1 1 1 0 1
0 0 1 0 0 0 1 1 0 0 0 1 0
0 0 1 0 0 0 1 1 1 1 0 1 0
0 0 1 0 0 1 0 0 0 0 0 1 1
0 0 1 0 0 1 0 0 1 1 0 1 1
0 0 1 0 0 1 0 1 0 0 1 1 0
0 0 1 0 0 1 0 1 1 1 1 1 0
0 0 1 0 0 1 1 0 0 0 1 1 1
0 0 1 0 0 1 1 0 1 1 1 1 1
0 0 1 0 0 1 1 1 0 0 0 0 0
0 0 1 0 0 1 1 1 1 1 0 0 0
0 0 1 0 1 0 0 0 0 1 0 0 1
0 0 1 0 1 0 0 0 1 0 1 0 0
0 0 1 0 1 0 0 1 0 1 1 0 0
0 0 1 0 1 0 0 1 1 0 1 0 1
0 0 1 0 1 0 1 0 0 1 1 0 1
0 0 1 0 1 0 1 0 1 0 0 1 0
0 0 1 0 1 0 1 1 0 1 0 1 0
0 0 1 0 1 0 1 1 1 0 0 1 1
0 0 1 0 1 1 0 0 0 1 0 1 1
0 0 1 0 1 1 0 0 1 0 1 1 0
0 0 1 0 1 1 0 1 0 1 1 1 0
0 0 1 0 1 1 0 1 1 0 1 1 1
0 0 1 0 1 1 1 0 0 1 1 1 1
0 0 1 0 1 1 1 0 1 0 0 0 0
0 0 1 0 1 1 1 1 0 1 0 0 0
0 0 1 0 1 1 1 1 1 0 0 0 1
0 0 1 1 0 0 0 0 0 1 0 0 1
0 0 1 1 0 0 0 0 1 0 1 0 0
0 0 1 1 0 0 0 1 0 1 1 0 0
0 0 1 1 0 0 0 1 1 0 1 0 1
0 0 1 1 0 0 1 0 0 1 1 0 1
0 0 1 1 0 0 1 0 1 0 0 1 0
0 0 1 1 0 0 1 1 0 1 0 1 0
0 0 1 1 0 0 1 1 1 0 0 1 1
0 0 1 1 0 1 0 0 0 1 0 1 1
0 0 1 1 0 1 0 0 1 0 1 1 0
0 0 1 1 0 1 0 1 0 1 1 1 0
0 0 1 1 0 1 0 1 1 0 1 1 1
0 0 1 1 0 1 1 0 0 1 1 1 1
0 0 1 1 0 1 1 0 1 0 0 0 0
0 0 1 1 0 1 1 1 0 1 0 0 0
0 0 1 1 0 1 1 1 1 0 0 0 1
0 0 1 1 1 0 0 0 0 0 1 0 0
0 0 1 1 1 0 0 0 1 1 1 0 0
0 0 1 1 1 0 0 1 0 0 1 0 1
0 0 1 1 1 0 0 1 1 1 1 0 1
0 0 1 1 1 0 1 0 0 0 0 1 0
0 0 1 1 1 0 1 0 1 1 0 1 0
0 0 1 1 1 0 1 1 0 0 0 1 1
0 0 1 1 1 0 1 1 1 1 0 1 1
0 0 1 1 1 1 0 0 0 0 1 1 0
0 0 1 1 1 1 0 0 1 1 1 1 0
0 0 1 1 1 1 0 1 0 0 1 1 1
0 0 1 1 1 1 0 1 1 1 1 1 1
0 0 1 1 1 1 1 0 0 0 0 0 0
0 0 1 1 1 1 1 0 1 1 0 0 0
0 0 1 1 1 1 1 1 0 0 0 0 1
0 0 1 1 1 1 1 1 1 1 0 0 1
0 1 0 0 0 0 0 0 0 0 0 1 0
0 1 0 0 0 0 0 0 1 1 0 1 0
0 1 0 0 0 0 0 1 0 0 0 1 1
0 1 0 0 0 0 0 1 1 1 0 1 1
0 1 0 0 0 0 1 0 0 0 1 1 0
0 1 0 0 0 0 1 0 1 1 1 1 0
0 1 0 0 0 0 1 1 0 0 1 1 1
0 1 0 0 0 0 1 1 1 1 1 1 1
0 1 0 0 0 1 0 0 0 0 0 0 0
0 1 0 0 0 1 0 0 1 1 0 0 0
0 1 0 0 0 1 0 1 0 0 0 0 1
0 1 0 0 0 1 0 1 1 1 0 0 1
0 1 0 0 0 1 1 0 0 0 1 0 0
0 1 0 0 0 1 1 0 1 1 1 0 0
0 1 0 0 0 1 1 1 0 0 1 0 1
0 1 0 0 0 1 1 1 1 1 1 0 1
0 1 0 0 1 0 0 0 0 1 0 1 0
0 1 0 0 1 0 0 0 1 0 0 1 1
0 1 0 0 1 0 0 1 0 1 0 1 1
0 1 0 0 1 0 0 1 1 0 1 1 0
0 1 0 0 1 0 1 0 0 1 1 1 0
0 1 0 0 1 0 1 0 1 0 1 1 1
0 1 0 0 1 0 1 1 0 1 1 1 1
0 1 0 0 1 0 1 1 1 0 0 0 0
0 1 0 0 1 1 0 0 0 1 0 0 0
0 1 0 0 1 1 0 0 1 0 0 0 1
0 1 0 0 1 1 0 1 0 1 0 0 1
0 1 0 0 1 1 0 1 1 0 1 0 0
0 1 0 0 1 1 1 0 0 1 1 0 0
0 1 0 0 1 1 1 0 1 0 1 0 1
0 1 0 0 1 1 1 1 0 1 1 0 1
0 1 0 0 1 1 1 1 1 0 0 1 0
0 1 0 1 0 0 0 0 0 1 0 1 0
0 1 0 1 0 0 0 0 1 0 0 1 1
0 1 0 1 0 0 0 1 0 1 0 1 1
0 1 0 1 0 0 0 1 1 0 1 1 0
0 1 0 1 0 0 1 0 0 1 1 1 0
0 1 0 1 0 0 1 0 1 0 1 1 1
0 1 0 1 0 0 1 1 0 1 1 1 1
0 1 0 1 0 0 1 1 1 0 0 0 0
0 1 0 1 0 1 0 0 0 1 0 0 0
0 1 0 1 0 1 0 0 1 0 0 0 1
0 1 0 1 0 1 0 1 0 1 0 0 1
0 1 0 1 0 1 0 1 1 0 1 0 0
0 1 0 1 0 1 1 0 0 1 1 0 0
0 1 0 1 0 1 1 0 1 0 1 0 1
0 1 0 1 0 1 1 1 0 1 1 0 1
0 1 0 1 0 1 1 1 1 0 0 1 0
0 1 0 1 1 0 0 0 0 0 0 1 1
0 1 0 1 1 0 0 0 1 1 0 1 1
0 1 0 1 1 0 0 1 0 0 1 1 0
0 1 0 1 1 0 0 1 1 1 1 1 0
0 1 0 1 1 0 1 0 0 0 1 1 1
0 1 0 1 1 0 1 0 1 1 1 1 1
0 1 0 1 1 0 1 1 0 0 0 0 0
0 1 0 1 1 0 1 1 1 1 0 0 0
0 1 0 1 1 1 0 0 0 0 0 0 1
0 1 0 1 1 1 0 0 1 1 0 0 1
0 1 0 1 1 1 0 1 0 0 1 0 0
0 1 0 1 1 1 0 1 1 1 1 0 0
0 1 0 1 1 1 1 0 0 0 1 0 1
0 1 0 1 1 1 1 0 1 1 1 0 1
0 1 0 1 1 1 1 1 0 0 0 1 0
0 1 0 1 1 1 1 1 1 1 0 1 0
0 1 1 0 0 0 0 0 0 0 0 1 1
0 1 1 0 0 0 0 0 1 1 0 1 1
0 1 1 0 0 0 0 1 0 0 1 1 0
0 1 1 0 0 0 0 1 1 1 1 1 0
0 1 1 0 0 0 1 0 0 0 1 1 1
0 1 1 0 0 0 1 0 1 1 1 1 1
0 1 1 0 0 0 1 1 0 0 0 0 0
0 1 1 0 0 0 1 1 1 1 0 0 0
0 1 1 0 0 1 0 0 0 0 0 0 1
0 1 1 0 0 1 0 0 1 1 0 0 1
0 1 1 0 0 1 0 1 0 0 1 0 0
0 1 1 0 0 1 0 1 1 1 1 0 0
0 1 1 0 0 1 1 0 0 0 1 0 1
0 1 1 0 0 1 1 0 1 1 1 0 1
0 1 1 0 0 1 1 1 0 0 0 1 0
0 1 1 0 0 1 1 1 1 1 0 1 0
0 1 1 0 1 0 0 0 0 1 0 1 1
0 1 1 0 1 0 0 0 1 0 1 1 0
0 1 1 0 1 0 0 1 0 1 1 1 0
0 1 1 0 1 0 0 1 1 0 1 1 1
0 1 1 0 1 0 1 0 0 1 1 1 1
0 1 1 0 1 0 1 0 1 0 0 0 0
0 1 1 0 1 0 1 1 0 1 0 0 0
0 1 1 0 1 0 1 1 1 0 0 0 1
0 1 1 0 1 1 0 0 0 1 0 0 1
0 1 1 0 1 1 0 0 1 0 1 0 0
0 1 1 0 1 1 0 1 0 1 1 0 0
0 1 1 0 1 1 0 1 1 0 1 0 1
0 1 1 0 1 1 1 0 0 1 1 0 1
0 1 1 0 1 1 1 0 1 0 0 1 0
0 1 1 0 1 1 1 1 0 1 0 1 0
0 1 1 0 1 1 1 1 1 0 0 1 1
0 1 1 1 0 0 0 0 0 1 0 1 1
0 1 1 1 0 0 0 0 1 0 1 1 0
0 1 1 1 0 0 0 1 0 1 1 1 0
0 1 1 1 0 0 0 1 1 0 1 1 1
0 1 1 1 0 0 1 0 0 1 1 1 1
0 1 1 1 0 0 1 0 1 0 0 0 0
0 1 1 1 0 0 1 1 0 1 0 0 0
0 1 1 1 0 0 1 1 1 0 0 0 1
0 1 1 1 0 1 0 0 0 1 0 0 1
0 1 1 1 0 1 0 0 1 0 1 0 0
0 1 1 1 0 1 0 1 0 1 1 0 0
0 1 1 1 0 1 0 1 1 0 1 0 1
0 1 1 1 0 1 1 0 0 1 1 0 1
0 1 1 1 0 1 1 0 1 0 0 1 0
0 1 1 1 0 1 1 1 0 1 0 1 0
0 1 1 1 0 1 1 1 1 0 0 1 1
0 1 1 1 1 0 0 0 0 0 1 1 0
0 1 1 1 1 0 0 0 1 1 1 1 0
0 1 1 1 1 0 0 1 0 0 1 1 1
0 1 1 1 1 0 0 1 1 1 1 1 1
0 1 1 1 1 0 1 0 0 0 0 0 0
0 1 1 1 1 0 1 0 1 1 0 0 0
0 1 1 1 1 0 1 1 0 0 0 0 1
0 1 1 1 1 0 1 1 1 1 0 0 1
0 1 1 1 1 1 0 0 0 0 1 0 0
0 1 1 1 1 1 0 0 1 1 1 0 0
0 1 1 1 1 1 0 1 0 0 1 0 1
0 1 1 1 1 1 0 1 1 1 1 0 1
0 1 1 1 1 1 1 0 0 0 0 1 0
0 1 1 1 1 1 1 0 1 1 0 1 0
0 1 1 1 1 1 1 1 0 0 0 1 1
0 1 1 1 1 1 1 1 1 1 0 1 1
1 0 0 0 0 0 0 0 0 0 1 0 0
1 0 0 0 0 0 0 0 1 1 1 0 0
1 0 0 0 0 0 0 1 0 0 1 0 1
1 0 0 0 0 0 0 1 1 1 1 0 1
1 0 0 0 0 0 1 0 0 0 0 1 0
1 0 0 0 0 0 1 0 1 1 0 1 0
1 0 0 0 0 0 1 1 0 0 0 1 1
1 0 0 0 0 0 1 1 1 1 0 1 1
1 0 0 0 0 1 0 0 0 0 1 1 0
1 0 0 0 0 1 0 0 1 1 1 1 0
1 0 0 0 0 1 0 1 0 0 1 1 1
1 0 0 0 0 1 0 1 1 1 1 1 1
1 0 0 0 0 1 1 0 0 0 0 0 0
1 0 0 0 0 1 1 0 1 1 0 0 0
1 0 0 0 0 1 1 1 0 0 0 0 1
1 0 0 0 0 1 1 1 1 1 0 0 1
1 0 0 0 1 0 0 0 0 1 1 0 0
1 0 0 0 1 0 0 0 1 0 1 0 1
1 0 0 0 1 0 0 1 0 1 1 0 1
1 0 0 0 1 0 0 1 1 0 0 1 0
1 0 0 0 1 0 1 0 0 1 0 1 0
1 0 0 0 1 0 1 0 1 0 0 1 1
1 0 0 0 1 0 1 1 0 1 0 1 1
1 0 0 0 1 0 1 1 1 0 1 1 0
1 0 0 0 1 1 0 0 0 1 1 1 0
1 0 0 0 1 1 0 0 1 0 1 1 1
1 0 0 0 1 1 0 1 0 1 1 1 1
1 0 0 0 1 1 0 1 1 0 0 0 0
1 0 0 0 1 1 1 0 0 1 0 0 0
1 0 0 0 1 1 1 0 1 0 0 0 1
1 0 0 0 1 1 1 1 0 1 0 0 1
1 0 0 0 1 1 1 1 1 0 1 0 0
1 0 0 1 0 0 0 0 0 1 1 0 0
1 0 0 1 0 0 0 0 1 0 1 0 1
1 0 0 1 0 0 0 1 0 1 1 0 1
1 0 0 1 0 0 0 1 1 0 0 1 0
1 0 0 1 0 0 1 0 0 1 0 1 0
1 0 0 1 0 0 1 0 1 0 0 1 1
1 0 0 1 0 0 1 1 0 1 0 1 1
1 0 0 1 0 0 1 1 1 0 1 1 0
1 0 0 1 0 1 0 0 0 1 1 1 0
1 0 0 1 0 1 0 0 1 0 1 1 1
1 0 0 1 0 1 0 1 0 1 1 1 1
1 0 0 1 0 1 0 1 1 0 0 0 0
1 0 0 1 0 1 1 0 0 1 0 0 0
1 0 0 1 0 1 1 0 1 0 0 0 1
1 0 0 1 0 1 1 1 0 1 0 0 1
1 0 0 1 0 1 1 1 1 0 1 0 0
1 0 0 1 1 0 0 0 0 0 1 0 1
1 0 0 1 1 0 0 0 1 1 1 0 1
1 0 0 1 1 0 0 1 0 0 0 1 0
1 0 0 1 1 0 0 1 1 1 0 1 0
1 0 0 1 1 0 1 0 0 0 0 1 1
1 0 0 1 1 0 1 0 1 1 0 1 1
1 0 0 1 1 0 1 1 0 0 1 1 0
1 0 0 1 1 0 1 1 1 1 1 1 0
1 0 0 1 1 1 0 0 0 0 1 1 1
1 0 0 1 1 1 0 0 1 1 1 1 1
1 0 0 1 1 1 0 1 0 0 0 0 0
1 0 0 1 1 1 0 1 1 1 0 0 0
1 0 0 1 1 1 1 0 0 0 0 0 1
1 0 0 1 1 1 1 0 1 1 0 0 1
1 0 0 1 1 1 1 1 0 0 1 0 0
1 0 0 1 1 1 1 1 1 1 1 0 0
1 0 1 0 0 0 0 0 0 0 1 0 1
1 0 1 0 0 0 0 0 1 1 1 0 1
1 0 1 0 0 0 0 1 0 0 0 1 0
1 0 1 0 0 0 0 1 1 1 0 1 0
1 0 1 0 0 0 1 0 0 0 0 1 1
1 0 1 0 0 0 1 0 1 1 0 1 1
1 0 1 0 0 0 1 1 0 0 1 1 0
1 0 1 0 0 0 1 1 1 1 1 1 0
1 0 1 0 0 1 0 0 0 0 1 1 1
1 0 1 0 0 1 0 0 1 1 1 1 1
1 0 1 0 0 1 0 1 0 0 0 0 0
1 0 1 0 0 1 0 1 1 1 0 0 0
1 0 1 0 0 1 1 0 0 0 0 0 1
1 0 1 0 0 1 1 0 1 1 0 0 1
1 0 1 0 0 1 1 1 0 0 1 0 0
1 0 1 0 0 1 1 1 1 1 1 0 0
1 0 1 0 1 0 0 0 0 1 1 0 1
1 0 1 0 1 0 0 0 1 0 0 1 0
1 0 1 0 1 0 0 1 0 1 0 1 0
1 0 1 0 1 0 0 1 1 0 0 1 1
1 0 1 0 1 0 1 0 0 1 0 1 1
1 0 1 0 1 0 1 0 1 0 1 1 0
1 0 1 0 1 0 1 1 0 1 1 1 0
1 0 1 0 1 0 1 1 1 0 1 1 1
1 0 1 0 1 1 0 0 0 1 1 1 1
1 0 1 0 1 1 0 0 1 0 0 0 0
1 0 1 0 1 1 0 1 0 1 0 0 0
1 0 1 0 1 1 0 1 1 0 0 0 1
1 0 1 0 1 1 1 0 0 1 0 0 1
1 0 1 0 1 1 1 0 1 0 1 0 0
1 0 1 0 1 1 1 1 0 1 1 0 0
1 0 1 0 1 1 1 1 1 0 1 0 1
1 0 1 1 0 0 0 0 0 1 1 0 1
1 0 1 1 0 0 0 0 1 0 0 1 0
1 0 1 1 0 0 0 1 0 1 0 1 0
1 0 1 1 0 0 0 1 1 0 0 1 1
1 0 1 1 0 0 1 0 0 1 0 1 1
1 0 1 1 0 0 1 0 1 0 1 1 0
1 0 1 1 0 0 1 1 0 1 1 1 0
1 0 1 1 0 0 1 1 1 0 1 1 1
1 0 1 1 0 1 0 0 0 1 1 1 1
1 0 1 1 0 1 0 0 1 0 0 0 0
1 0 1 1 0 1 0 1 0 1 0 0 0
1 0 1 1 0 1 0 1 1 0 0 0 1
1 0 1 1 0 1 1 0 0 1 0 0 1
1 0 1 1 0 1 1 0 1 0 1 0 0
1 0 1 1 0 1 1 1 0 1 1 0 0
1 0 1 1 0 1 1 1 1 0 1 0 1
1 0 1 1 1 0 0 0 0 0 0 1 0
1 0 1 1 1 0 0 0 1 1 0 1 0
1 0 1 1 1 0 0 1 0 0 0 1 1
1 0 1 1 1 0 0 1 1 1 0 1 1
1 0 1 1 1 0 1 0 0 0 1 1 0
1 0 1 1 1 0 1 0 1 1 1 1 0
1 0 1 1 1 0 1 1 0 0 1 1 1
1 0 1 1 1 0 1 1 1 1 1 1 1
1 0 1 1 1 1 0 0 0 0 0 0 0
1 0 1 1 1 1 0 0 1 1 0 0 0
1 0 1 1 1 1 0 1 0 0 0 0 1
1 0 1 1 1 1 0 1 1 1 0 0 1
1 0 1 1 1 1 1 0 0 0 1 0 0
1 0 1 1 1 1 1 0 1 1 1 0 0
1 0 1 1 1 1 1 1 0 0 1 0 1
1 0 1 1 1 1 1 1 1 1 1 0 1
1 1 0 0 0 0 0 0 0 0 1 1 0
1 1 0 0 0 0 0 0 1 1 1 1 0
1 1 0 0 0 0 0 1 0 0 1 1 1
1 1 0 0 0 0 0 1 1 1 1 1 1
1 1 0 0 0 0 1 0 0 0 0 0 0
1 1 0 0 0 0 1 0 1 1 0 0 0
1 1 0 0 0 0 1 1 0 0 0 0 1
1 1 0 0 0 0 1 1 1 1 0 0 1
1 1 0 0 0 1 0 0 0 0 1 0 0
1 1 0 0 0 1 0 0 1 1 1 0 0
1 1 0 0 0 1 0 1 0 0 1 0 1
1 1 0 0 0 1 0 1 1 1 1 0 1
1 1 0 0 0 1 1 0 0 0 0 1 0
1 1 0 0 0 1 1 0 1 1 0 1 0
1 1 0 0 0 1 1 1 0 0 0 1 1
1 1 0 0 0 1 1 1 1 1 0 1 1
1 1 0 0 1 0 0 0 0 1 1 1 0
1 1 0 0 1 0 0 0 1 0 1 1 1
1 1 0 0 1 0 0 1 0 1 1 1 1
1 1 0 0 1 0 0 1 1 0 0 0 0
1 1 0 0 1 0 1 0 0 1 0 0 0
1 1 0 0 1 0 1 0 1 0 0 0 1
1 1 0 0 1 0 1 1 0 1 0 0 1
1 1 0 0 1 0 1 1 1 0 1 0 0
1 1 0 0 1 1 0 0 0 1 1 0 0
1 1 0 0 1 1 0 0 1 0 1 0 1
1 1 0 0 1 1 0 1 0 1 1 0 1
1 1 0 0 1 1 0 1 1 0 0 1 0
1 1 0 0 1 1 1 0 0 1 0 1 0
1 1 0 0 1 1 1 0 1 0 0 1 1
1 1 0 0 1 1 1 1 0 1 0 1 1
1 1 0 0 1 1 1 1 1 0 1 1 0
1 1 0 1 0 0 0 0 0 1 1 1 0
1 1 0 1 0 0 0 0 1 0 1 1 1
1 1 0 1 0 0 0 1 0 1 1 1 1
1 1 0 1 0 0 0 1 1 0 0 0 0
1 1 0 1 0 0 1 0 0 1 0 0 0
1 1 0 1 0 0 1 0 1 0 0 0 1
1 1 0 1 0 0 1 1 0 1 0 0 1
1 1 0 1 0 0 1 1 1 0 1 0 0
1 1 0 1 0 1 0 0 0 1 1 0 0
1 1 0 1 0 1 0 0 1 0 1 0 1
1 1 0 1 0 1 0 1 0 1 1 0 1
1 1 0 1 0 1 0 1 1 0 0 1 0
1 1 0 1 0 1 1 0 0 1 0 1 0
1 1 0 1 0 1 1 0 1 0 0 1 1
1 1 0 1 0 1 1 1 0 1 0 1 1
1 1 0 1 0 1 1 1 1 0 1 1 0
1 1 0 1 1 0 0 0 0 0 1 1 1
1 1 0 1 1 0 0 0 1 1 1 1 1
1 1 0 1 1 0 0 1 0 0 0 0 0
1 1 0 1 1 0 0 1 1 1 0 0 0
1 1 0 1 1 0 1 0 0 0 0 0 1
1 1 0 1 1 0 1 0 1 1 0 0 1
1 1 0 1 1 0 1 1 0 0 1 0 0
1 1 0 1 1 0 1 1 1 1 1 0 0
1 1 0 1 1 1 0 0 0 0 1 0 1
1 1 0 1 1 1 0 0 1 1 1 0 1
1 1 0 1 1 1 0 1 0 0 0 1 0
1 1 0 1 1 1 0 1 1 1 0 1 0
1 1 0 1 1 1 1 0 0 0 0 1 1
1 1 0 1 1 1 1 0 1 1 0 1 1
1 1 0 1 1 1 1 1 0 0 1 1 0
1 1 0 1 1 1 1 1 1 1 1 1 0
1 1 1 0 0 0 0 0 0 0 1 1 1
1 1 1 0 0 0 0 0 1 1 1 1 1
1 1 1 0 0 0 0 1 0 0 0 0 0
1 1 1 0 0 0 0 1 1 1 0 0 0
1 1 1 0 0 0 1 0 0 0 0 0 1
1 1 1 0 0 0 1 0 1 1 0 0 1
1 1 1 0 0 0 1 1 0 0 1 0 0
1 1 1 0 0 0 1 1 1 1 1 0 0
1 1 1 0 0 1 0 0 0 0 1 0 1
1 1 1 0 0 1 0 0 1 1 1 0 1
1 1 1 0 0 1 0 1 0 0 0 1 0
1 1 1 0 0 1 0 1 1 1 0 1 0
1 1 1 0 0 1 1 0 0 0 0 1 1
1 1 1 0 0 1 1 0 1 1 0 1 1
1 1 1 0 0 1 1 1 0 0 1 1 0
1 1 1 0 0 1 1 1 1 1 1 1 0
1 1 1 0 1 0 0 0 0 1 1 1 1
1 1 1 0 1 0 0 0 1 0 0 0 0
1 1 1 0 1 0 0 1 0 1 0 0 0
1 1 1 0 1 0 0 1 1 0 0 0 1
1 1 1 0 1 0 1 0 0 1 0 0 1
1 1 1 0 1 0 1 0 1 0 1 0 0
1 1 1 0 1 0 1 1 0 1 1 0 0
1 1 1 0 1 0 1 1 1 0 1 0 1
1 1 1 0 1 1 0 0 0 1 1 0 1
1 1 1 0 1 1 0 0 1 0 0 1 0
1 1 1 0 1 1 0 1 0 1 0 1 0
1 1 1 0 1 1 0 1 1 0 0 1 1
1 1 1 0 1 1 1 0 0 1 0 1 1
1 1 1 0 1 1 1 0 1 0 1 1 0
1 1 1 0 1 1 1 1 0 1 1 1 0
1 1 1 0 1 1 1 1 1 0 1 1 1
1 1 1 1 0 0 0 0 0 1 1 1 1
1 1 1 1 0 0 0 0 1 0 0 0 0
1 1 1 1 0 0 0 1 0 1 0 0 0
1 1 1 1 0 0 0 1 1 0 0 0 1
1 1 1 1 0 0 1 0 0 1 0 0 1
1 1 1 1 0 0 1 0 1 0 1 0 0
1 1 1 1 0 0 1 1 0 1 1 0 0
1 1 1 1 0 0 1 1 1 0 1 0 1
1 1 1 1 0 1 0 0 0 1 1 0 1
1 1 1 1 0 1 0 0 1 0 0 1 0
1 1 1 1 0 1 0 1 0 1 0 1 0
1 1 1 1 0 1 0 1 1 0 0 1 1
1 1 1 1 0 1 1 0 0 1 0 1 1
1 1 1 1 0 1 1 0 1 0 1 1 0
1 1 1 1 0 1 1 1 0 1 1 1 0
1 1 1 1 0 1 1 1 1 0 1 1 1
1 1 1 1 1 0 0 0 0 0 0 0 0
1 1 1 1 1 0 0 0 1 1 0 0 0
1 1 1 1 1 0 0 1 0 0 0 0 1
1 1 1 1 1 0 0 1 1 1 0 0 1
1 1 1 1 1 0 1 0 0 0 1 0 0
1 1 1 1 1 0 1 0 1 1 1 0 0
1 1 1 1 1 0 1 1 0 0 1 0 1
1 1 1 1 1 0 1 1 1 1 1 0 1
1 1 1 1 1 1 0 0 0 0 0 1 0
1 1 1 1 1 1 0 0 1 1 0 1 0
1 1 1 1 1 1 0 1 0 0 0 1 1
1 1 1 1 1 1 0 1 1 1 0 1 1
1 1 1 1 1 1 1 0 0 0 1 1 0
1 1 1 1 1 1 1 0 1 1 1 1 0
1 1 1 1 1 1 1 1 0 0 1 1 1
1 1 1 1 1 1 1 1 1 1 1 1 1

B .EQUATIONS
b.1) Output of z
Equation:
b.2) Output of y
Equation:

b.4) Output of u
b.3) Output of y
Equation:
Equation:
C. CLC Circuit
VI. SLC DESIGN FOR INPUT COUNTER AND MAGNITUDE COMPARATOR

STATE DIAGRAM

VII. SIMULATION LAYOUT (NEXT PAGE)

You might also like