You are on page 1of 43

Pitanja i odgovori Završnog ispita iz

Digitalne Elektronike
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Predgovor
Ova skripta je namijenjena samo za učenje. Autor i urednik ne odgovaraju za moguću
preprodaju ili bilokakvu zloporabu ove skripte.

Autor teksta: Dr.sc. Boris Sviličić, docent

Ilustrator: Dr.sc. Boris Sviličić, docent

Urednik: Toni Kučić

2
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Sadržaj
Predgovor .......................................................................................................................... 2
BROJEVNI SUSTAVI I KODOVI............................................................................................ 5
3-1. Objasniti pojam numerički kodovi................................................................................ 5
3-2. Objasniti pojam znakovni kodovi. ................................................................................ 5
LOGIČKI SKLOPOVI I BOOLEOVA ALGEBRA..................................................................... 6
4-1. Prikazati i objasniti princip rada logičkog sklopa I........................................................ 6
4-2. Prikazati i objasniti princip rada logičkog sklopa ILI..................................................... 6
4-3. Prikazati i objasniti princip rada logičkog sklopa INVERTOR. ..................................... 7
4-4. Objasniti što je Booleova algebra i navesti osnovne aksiome. .................................... 7
4-5. Objasniti funkcije INHIBICIJA i IMPLIKACIJA. ............................................................ 8
4-6. Objasniti funkcije ISLJUČIVO ILI i EKVIVALENCIJA................................................... 8
4-7. Prikazati i objasniti metodu supstitucije za pretvaranje funkcije u NI-oblik, za logičkoj
funkciju: f = AB + ABC ....................................................................................................... 8
4-8. Prikazati i objasniti algebarsku metodu za pretvaranje funkcije u NI-oblik, za logičku
funkciju: f = A + BC ............................................................................................................ 9
SVOJSTVA INTEGRIRANIH LOGIČKIH SKLOPOVA ........................................................... 9
5-1. Objasniti naponska područja rada integriranih digitalnih sklopova............................... 9
5-2. Objasniti pojam vrijeme kašnjenja integriranih digitalnih sklopova............................. 10
5-3. Objasniti pojam disipacija električne energije integriranih digitalnih sklopova............ 10
KOMBINACIJSKI SKLOPOVI .............................................................................................. 11
6-1. Navesti i objasniti osnovne postupke minimizacije logičkih funkcija. ......................... 11
6-2. Objasniti pojam hazard. ............................................................................................ 12
KOMBINACIJSKI MODULI I MODULARNE MREŽE ........................................................... 13
7-1. Objasniti princip rada dekodera................................................................................. 13
7-2. Objasniti princip rada multipleksora. ......................................................................... 14
7-3. Objasniti princip prijenosa podataka multipleksiranjem. ............................................ 15
7-4. Prikazati osnovnu logičku shemu i objasniti princip rada permanentne memorije...... 15
BISTABIL; SEKVENCIJALNI SKLOPOVI ............................................................................ 16
8-1. Objasniti princip rada bistabila. ................................................................................. 16
8-2. Objasniti princip rada bistabila od NI-sklopova.......................................................... 18
8-3. Objasniti princip rada bistabila od NILI-sklopova....................................................... 19
8-4. Objasniti princip rada SR-bistabila. ........................................................................... 19
REGISTRI I BROJILA.......................................................................................................... 21
9-1. Prikazati i objasniti princip rada registra. ................................................................... 21
9-2. Prikazati i objasniti princip rada posmačnog registra................................................. 22

3
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

9-3. Prikazati i objasniti princip rada sinkronog binarnog brojila. ...................................... 23


9-4. Prikazati i objasniti princip rada brojila na osnovi posmačnog registra. ..................... 24
9-5. Prikazati i objasniti princip rada binarnog asinkronog brojila. .................................... 25
DIGITALNA ARITMETIKA; ALGORITMI I SKLOPOVI ......................................................... 26
10-1. Prikazati i objasniti princip rada sklopa za zbrajanje dvaju binarnih brojeva. ........... 26
10-2. Prikazati i objasniti princip rada sklopa za zbrajanje triju binarnih brojeva. .............. 26
10-3. Prikazati i objasniti princip rada sklopa za paralelno i za serijsko zbrajanje binarnih
brojeva............................................................................................................................. 27
A)Paralelno .................................................................................................................. 27
B)Serijski ...................................................................................................................... 28
10-4. Prikazati i objasniti princip rada sklopa za odbijanje dvaju binarnih brojeva. ........... 29
10-5. Prikazati i objasniti princip rada potpunog odbijala .................................................. 29
10-6. Prikazati i objasniti princip rada organizacije digitalnog sustava za sekvencijalno
množenje dvaju binarnih brojeva...................................................................................... 30
MEMORIJE ......................................................................................................................... 31
11-1. Objasniti princip rada statičke poluvodičke memorije. ............................................. 31
11-2. Objasniti princip rada dinamičke poluvodičke memorije. ......................................... 32
11-3. Objasniti princip rada elektromehaničke memorije .................................................. 33
GENERIRANJE, OBLIKOVANJE I PRIJENOS SIGNALA.................................................... 34
12-1. Objasniti princip rada Schmittovog okidnog sklopa. ................................................ 34
12-2. Objasniti princip rada monostabila. ......................................................................... 35
12-3. Objasniti princip rada astabila. ................................................................................ 37
ANALOGNO-DIGITALNA I DIGITALNA-ANALOGNA PRETVORBA ................................... 39
13-1. Prikazati i objasniti princip rada digitalno-analognog pretvornika............................. 39
13-2. Prikazati i objasniti princip rada DA pretvornika s brojilom. ..................................... 40
13-3. Prikazati i objasniti princip rada analogno-digitalnog pretvornika............................. 40
13-4. Prikazati i objasniti princip rada brojećeg AD pretvornika. ....................................... 41
13-5. Prikazati i objasniti princip rada paralelnog AD pretvornika. .................................... 43

4
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

BROJEVNI SUSTAVI I KODOVI


3-1. Objasniti pojam numerički kodovi.
Kodovi za prikaz znamenaka zovu se i numerički kodovi. Abeceda koja se sastoji od dekadskih
znamenaka ima deset znakova. Potrebna su barem četiri bita da se prikaže
deset elemenata.

Kod 8421 dobiva se tako da se dekadskim znamenkama pridijeli


odgovarajući binarni broj koji bi se dobio konverzijom. Takav kod, koji je
prikazan u tablici, naziva se i prirodni binarno kodirani dekadski kod,
odnosno, jednostavnije, binarno kodirani dekadski (u engleskom jeziku često
se upotrebljava kratica BCD =Binary Coded Decimal
Dekadske znamenke direktno odgovaraju brojevima u binarnom sustavu,
odakle i naziv "prirodni".

Kod 2421 (sljedeća tablica) upotrebljava prvih i zadnjih pet kodnih riječi iz
niza od 16 brojeva binarnoga brojevnog sustava. Ovaj kod ima važno
svojstvo, ako se u nekoj kodnoj riječi pretvori 0 u 1 i obrnuto, dobiva se
komplement odgovarajuće dekadske znamenke. Kodovi koji imaju to svojstvo
zovu se samokomplementirajući kodovi.
neke se računske operacije, npr. odbijanje, mogu efikasno izvesti upotrebom
komplementa
Grayev kod (tabIica) spada u klasu kodova s minimalnom promjenom gdje se
susjedne kodne riječi razlikuju samo za jedan bit. Takav se kod naziva još i
reflektirani binarni kod zbog njegove interne strukture, odnosno načina na koji se
može konstruirati
krajnje desne znamenke kodnih riječi za dekadske znamenke 0 i 1 su
reflektirane u "zrcalu" što ga čini crtkana linija

3-2. Objasniti pojam znakovni kodovi.


Kodovi kojima se mogu prikazati slova i znamenke zovu se znakovni kodovi. Ako se žele prikazati i
drugi znakovi, a ne samo znamenke dekadskog sustava, onda 4 bita nisu dovoljna za prikaz slova,
znamenaka i najvažnijih simbola kao što su crta, točka, zarez, upitnik, uskličnik, znak jednakosti,
zagrade itd. potrebno je najmanje oko pedeset znakova. Potrebno je zato uzeti 6-bitnu kodnu riječ, što
omogućuje kodiranje 26 = 64 znaka. Za interni prikaz unutar računala to je obično dovoljno, ali na
izlazu sustava, npr. na pisaču ili ekranu, treba često veći broj znakova, npr. mala slova. Zbog takvih
potreba razvijeni su 7-bitni ili 8-bitni kodovi. Sa 7 bita može se prikazati 128, a sa 8 bita čak 256
znakova.
u su tablici prikazani neki kodovi koji se često
upotrebljavaju

SedmobitniASCII-kod (eng.American Standard


Code For Information Interchange) u primjeni se
redovito dopunjuje još jednim bitom, tzv. Paritetnim
bitom

5
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

LOGIČKI SKLOPOVI I BOOLEOVA ALGEBRA


4-1. Prikazati i objasniti princip rada logičkog sklopa I.
Elektronički sklop koji obavlja istu funkciju može se dobiti jednostavnom zamjenom mehaničkih
sklopova elektroničkim, kao što je prikazano na slici

Ako teče struja kroz bazu tranzistora T1 i ako teče struja kroz bazu tranzistora T2,
tada će i žaruljica svijetliti. Logičke varijable su ovdje struje, pa se kaže da je
logička funkcija realizirana u strujnoj logici.
Prikladnija je naponska logika, pa se, ako nije izričito drugačije rečeno,
podrazumijevaju logički sklopovi u toj izvedbi

Naponska logika je takav način realiziranja logičkih funkcija gdje su logičke


varijable predstavljene s dvije naponske razine
Diodni I-sklop u naponskoj logici prikazan je na slici.

Sastoji se od dviju dioda D1 i D2 i otpornika R


Na ulazima A i B naponske su razine UA i UB koje mogu poprimiti
dvije vrijednosti: nisku i visoku
Niska razina, koja mora biti manja od U0, označena je sa N i u
primjeru na slici iznosi 0 V. Visoka razina je iznosa U0 i označena
je sa V
Na slici b) prikazane su izlazne razine kao funkcija svih mogućih
kombinacija dvaju ulaznih varijabli.
Ako su na ulazu sklopa dva signala na niskoj razini, kroz diode će
teći struja i na izlazu će biti napon nula.
Ako je jedan od ulaznih signala na niskom naponu, npr. UA, a
drugi na visokom, tada će voditi jedna dioda (u ovom slučaju D1),
a druga će biti isključena. Napon će na izlazu ostati na niskoj
razini. Jedina će razlika biti u tome što će sada kroz diodu D1 teći
ukupna struja, što zbog pretpostavljene idealne diode neće
nimalo utjecati na izlaz.
Ako su oba ulaza na visokoj razini, obje će diode biti isključene, a
na izlazu će se pojaviti napon iznosa U0, tj. visoka
razina

Na slici su prikazani simboli za I-sklop

4-2. Prikazati i objasniti princip rada logičkog sklopa ILI.


Diodni ILI-sklop prikazan je na slici
Uz pretpostavku idealnih dioda izlazni će signal ovisiti o ulazu na
način prikazan na slici b)

Ako su oba ulaza na niskoj razini, obje će diode biti isključene, a na


izlazu će biti napon 0, tj. niska razina
Ako jedan od napona poraste, pripadna će dioda provesti, a na
izlazu će se pojaviti ulazni napon. tj. visoka razina
Ako su oba ulazna napona na visokoj razini, vodit će obje diode, a
izlazni će napon opet biti na visokoj razini.

6
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

standardna oznaka (kvalificirajući simbol) za ovaj logički sklop koji preporučuje IEC je ≥1 (izlaz će biti
u stanju 1 ako je jedan ili više ulaza u stanju 1)

4-3. Prikazati i objasniti princip rada logičkog sklopa INVERTOR.


Ako na sklop prema slici priključimo nisku naponsku razinu
(N), tranzistor će biti isključen i na izlazu će biti napon
napajanja UCC, tj. visoka naponska razina (V)
Kad je na ulazu visoka naponska razina, tranzistor će biti u
zasićenju i na izlazu će biti maleni napon zasićenja
tranzistora (oko 0,2 V), što odgovara niskoj naponskoj razini.
Iz kombinacijske tablice na slici c), jasno je da sklop obavlja
logičku negaciju, odnosno komplementiranje, tj. Invertira
ulazni signal, pa se zato i naziva invertor

Grafički simboli za invertor prikazani su na slici.


Opći simbol za logičku negaciju je krug (obično malen) koji se
prislanja ili na izlaz prethodnog ili na ulaz sljedećeg sklopa
Karakteristični simbol na slici b) je i napravljen na način da je na
izlaz pojačala dodana oznaka negacije
Invertor na slici a) je tranzistorska sklopka i osim logičke
negacije obavlja zapravo i pojačanje signala.

4-4. Objasniti što je Booleova algebra i navesti osnovne aksiome.


Booleova se algebra zasniva na:
1. skupu od dva ili više različitih članova (elemenata) S={a,b, c,...}
2. operatorima + i · primjenom kojih se na članove skupa S proizvodi također član skupa S
3. skupu aksioma; jedan te isti sustav može se izvesti na osnovi različitih aksioma
A.1. (aksiom 1.) postoje neutralni elementi 0 i 1 s obzirom na operacije + i · tako da vrijedi:
a) A+0=A b) A·1=A
A.2. za svaki element A iz skupa S (AєS) postoji u istom skupu element ( єS) tako da vrijedi:
a) A+ =1 b) A· =0
A.3. operatori su komutativni (zakon komutacije):
a) A+B=B+A b) A·B=B·A
A.4. operatori su distributivni jedan preko drugoga (zakon distribucije):
a) A·(B+C)=A·B+A·C b) A+B·C=(A+B)·(A+C)
Drugi i četvrti b) aksiom ne vrijede u običnoj algebri
U posljednjem aksiomu sadržana je hijerarhija operatora, ako nema zagrada, prvo se izvršava
operacija , a onda +, kao i u običnoj algebri.
Zagrade se također upotrebljavaju na uobičajeni način za određivanje prioriteta operacija i ako ih ima
više rješavaju se iznutra prema van.

Prvo što se opaža kod aksioma jest njihova dualna struktura. Svaki teorem ima naime dva dijela, a i b.
Na temelju toga može se postaviti metateorem (teorem o teoremima) o dualnosti koji glasi: ako se
zamijeni 0 sa 1 (ili obrnuto) i + sa · (ili obrnuto), onda iz aksioma a) slijedi aksiom b), odnosno obratno

7
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

4-5. Objasniti funkcije INHIBICIJA i IMPLIKACIJA.


Inhibicija je definirana sa A i može se realizirati jednim I-sklopom i jednim NE-sklopom (slika)
Ako je ulaz B u stanju 1, on priječi, odnosno inhibira prolaz varijable A.
Implikacija je definirana sa A+ (ili B+ ), i ako je komplementirana varijabla u stanju 1,
onda ona implicira (podrazumijeva) drugu varijablu.
Ako je dakle B=1, onda je A+ =A+0=A

4-6. Objasniti funkcije ISLJUČIVO ILI i EKVIVALENCIJA.


Definirana je izrazom A + B, iz kojeg slijedi da će se
direktno moći realizirati sa dva invertora, dva I-sklopa
i jednim ILI-sklopom (slika)
Oznaka kod preporučenog IEC simbola (=1) znači da
će izlaz biti u stanju 1 ako je jedan (i samo jedan) od
ulaznih signala u stanju 1

Ekvivalencija je takva funkcija koja je u stanju 1 ako


su joj obje ulazne varijable jednake: f=AB+ab

4-7. Prikazati i objasniti metodu supstitucije za pretvaranje funkcije u NI-


oblik, za logičkoj funkciju: f = AB + ABC
Slikom je prikazano pretvaranje logičkog sklopa sa I, ILI i
NE u sklop s NI sklopovima metodom supstitucije

Za zadanu se funkciju najprije nacrta logička shema, koja


se od dva I-sklopa i jednoga ILI-sklopa koji povezuje
njihove izlaze

Ako su ulazne varijable prisutne samo u


nekomplementiranom obliku, onda je za svaku varijablu
koja se pojavljuje u izrazu komplementirana potreban
jedan invertor

U tako nacrtanoj shemi izvrši se supstitucija sklopova I, ILI


i NE odgovarajućom kombinacijom NI-sklopova.
Pri tome su invertori zamijenjeni s NI-sklopovima.

Konačni sklop dobivamo poništavanjem dvaju uzastopnih


invertora

8
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

4-8. Prikazati i objasniti algebarsku metodu za pretvaranje funkcije u NI-


oblik, za logičku funkciju: f = A + BC
Postupak se može sumirati na sljedeći način:
1. funkciju prikazati pomoću sume P-članova
2. dva puta komplementirati funkciju (time se funkcija ne
mijenja)
3. primijeniti De Morganov teorem na izraz ispod
unutrašnje – negacije
4. svaki tako dobiveni član predstavlja jedan NI-sklop, a
svi zajedno s vanjskom negacijom predstavljaju
drugostepeni NI-sklop

SVOJSTVA INTEGRIRANIH LOGIČKIH SKLOPOVA


5-1. Objasniti naponska područja rada integriranih digitalnih sklopova.
Visoke i niske naponske razine moraju biti unutar određenog područja da bi se osiguralo ispravno
logičko funkcioniranje sklopa.
Međutim, iznosi su tih napona različiti u pojedinim čvorovima sklopova, a mijenjaju se i vremenski pod
utjecajem promjena napona napajanja, temperature, starenja elemenata i drugih uzroka
Za siguran rad sklopa važno je osigurati da se granice zabranjenog područja razlikuju na izlazu i ulazu
sklopa
U praktičnoj primjeni čest je slučaj da je na izlazu sklopa priključen jedan ili više istovrsnih sklopova
Kod projektiranja je osigurano da na ulazu odnosno izlazu sklopa minimalni iznosi napona na visokoj
razini i maksimalni iznosi napona na niskoj razini pri varijaciji parametara sklopa ni u najgorem slučaju
ne mogu prijeći neke granice

Za određeni digitalni sklop definiraju se stoga, kao


što je prikazano na slici, sljedeći naponi:
UIVmin - minimalni izlazni napon na visokoj razini
UINmax - maksimalni izlazni napon na niskoj razini
UUVmin - minimalni ulazni napon na visokoj razini
UUNmax - maksimalni ulazni napon na niskoj razini

Unutarnje smetnje su uzrokovane preslušavanjem


između dviju signalnih linija u istom sklopu,
Refleksijom signala s neprilagođenih završetaka
prijenosnih linija i djelovanjem strujnih šiljaka do kojih
dolazi u trenucima promjene stanja u izlaznim
stupnjevima nekih vrsta integriranih sklopova

Kad se smetnja superponira na postojeću naponsku razinu, može se dogoditi da ukupni iznos napona
uđe u zabranjeno područje i prouzrokuje logičku pogrešku
Ako na izlazu sklopa smetnja smanji iznos visoke naponske razine, onda, za ispravan rad, ukupni tako
dobiveni napon još uvijek mora biti u dopuštenom području visokog napona na ulazu sljedećega isto
takva sklopa
Slična je situacija i na niskoj razini. Maksimalno mogući napon smetnje, tzv. granica smetnje,
općenito se razlikuje za visoku i nisku razinu
Iz prethodne slike očito je kolike mogu biti smetnje, a da taj uvjet bude zadovoljen:
UGSV=UIVmin-UUVmin
UGSN=UUNmax-UINmax
Naponi UGSV i UGSN nazivaju se granica istosmjerne smetnje (eng. DC Noise Margin) na visokoj
odnosno niskoj razini

9
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Prijenosna karakteristika ili, točnije, naponska prijenosna karakteristika logičkog sklopa jest dijagram
koji prikazuje odnos između ulaznog (uul) i izlaznog (uzli) napona.
Idealna prijenosna karakteristika digitalnog sklopa imala bi okomiti skok iz jednog logičkog stanja na
izlazu u drugo. Napon kod kojega se taj skok događa zove se napon praga i označava sa UT

5-2. Objasniti pojam vrijeme kašnjenja integriranih digitalnih sklopova.


Za prolaženje kroz sklop električnom je signalu uvijek potrebno neko vrijeme. Vrijeme prolaženja
odnosno rasprostiranja ili propagacije predstavlja kašnjenje signala na izlazu u odnosu na ulaz i često
ovisi o smjeru promjene. Vremena propagacije od visokoga k niskom naponu, ili obrnuto, ne moraju
biti jednaka.
S obzirom na to definiraju se dva vremena kašnjenja:
tDNV = vrijeme kašnjenja između 50% nih vrijednosti izlaznog i ulaznog napona kad izlazni napon ide
od niske na visoku razinu
tDVN = vrijeme kašnjenja kad izlazni napon ide od visoke na nisku razinu

Vrijeme kašnjenja jedan je od najvažnijih parametara sklopa i poznavanje njegova iznosa, kao i
mogućih varijacija, neophodno je za ispravno logičko projektiranje
To je vrijeme i mjera brzine rada sklopa
Sklop s kraćim vremenom kašnjenja brži je od sklopa s dužim vremenom, tj. zadatak će brže obaviti

5-3. Objasniti pojam disipacija električne energije integriranih digitalnih


sklopova
Integrirani sklop disipira odnosno troši u radu određenu količinu električne energije proporcionalno
snazi P.
Snaga P je jednaka umnošku napona napajanja i struje, a sastoji se od dvije komponente: statičke i
dinamičke disipacije snage
Struja, međutim, općenito nije uvijek ista i ovisi o logičkom stanju sklopa, pa će se statička disipacija
sastojati od disipacije kad je na izlazu niska odnosno visoka razina.
Obično se pretpostavlja da je sklop tokom rada polovicu vremena u jednom, a polovicu u drugom
stanju pa se prosječna statički disipirana snaga uzima kao prosjek snage pri visokoj i niskoj razini.
Povećanje snage, osim što je samo po sebi nepovoljno ako se sklop napaja iz baterija, negativno
utječe na stupanj integriranosti sklopa.
Budući da radna temperatura tranzistora ne smije prijeći određenu vrijednost, to određuje i
maksimalnu dopuštenu snagu.

Ako osnovni logički sklop (u pravilu univerzalni sklop) ima manju disipaciju, to znači da će više
sklopova moći stati na čip i stupanj će integracije biti veći.
Kod sklopa s većom snagom bit će struje za nabijanje rasipnih i drugih kapaciteta veće pa će vrijeme
prolaženja biti kraće.

Dinamička disipacija snage zbiva se za vrijeme prijelaza sklopa iz jednog stanja u drugo

Na slici prikazana je sklopka kojom se izbija i nabija kapacitet C


To je inače uobičajena situacija kod tranzistorskog invertora u kojega uvijek na
izlazu postoji neki ukupni kapacitet koji se sastoji od rasipnih i ulaznih
kapaciteta priključenih opterećenja.

Ako je početno sklopka uključena, tj. zatvorena, kapacitet je prazan.


S otvaranjem sklopke počinje nabijanje kapaciteta i on se nabija na napon U.
Ako se sklopka uključuje i isključuje f puta u sekundi, gdje je f frekvencija, onda
je dinamička disipacija snage:
P = fCU
Ako se pomnoži snaga logičkog sklopa s vremenom kašnjenja, dobiva se
jednostavna mjera kvalitete pojedinog sklopa, koja može poslužiti i kao mjera
usporedbe pojedinih vrsta integriranih sklopova.
Sklop je kvalitetniji ako mu je produkt snage i kašnjenja manji.

10
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

KOMBINACIJSKI SKLOPOVI
6-1. Navesti i objasniti osnovne postupke minimizacije logičkih funkcija.
Dva su osnovna postupka minimizacije logičkih funkcija, algebarska metoda i Karnaughove tablice.
Jedna te ista Booleova funkcija može se prikazati algebarski na različite načine
svaki produkt-član realiziran je jednim I-sklopom čiji izlazi
vode na ulaz ILI-sklopa
Što to stvarno znači za broj elektroničkih elemenata, ovisit će o konstrukciji logičkih sklopova.
Ipak, općenito govoreći, broj elemenata, pa tako i cijena, ovisit će o ukupnom broju ulaza u logičke
sklopove.

Ako se npr. radi o diodnim logičkim sklopovima, to će biti jednako broju dioda
Broj ulaza u sklop jednak je broju članova koji se logički sumiraju + ukupni broj slova u svim produkt-
članovima
Svođenje funkcije na minimalni broj ulaza odnosno minimalni broj elemenata zove se minimizacija

Algebarska metoda minimizacije sastoji se u transformaciji logičkog izraza primjenom aksioma i


teorema
Ova je metoda prikladna samo za jednostavnije logičke izraze. Nijedan postupak minimizacije ne
vodi do jedinstvena rješenja

Često je moguće dobiti više različitih izraza za istu funkciju. Karnaughove tablice ili kraće K-tablice
predstavljaju grafičku metodu minimizacije izvedenu iz tablice kombinacija, odnosno Vennovih
dijagrama
Na slici je prikazana uobičajena tablica kombinacija od dvije
varijable A i B

kombinacije su poredane uobičajenim


standardnim redom tako da odozgo prema dolje
napreduju kao binarni brojevi
Ako bi se npr. zamijenile međusobno treća i
četvrta kombinacija (10 i 11), dobila bi se tablica s
razmakom koji bi između bilo koje susjedne
kombinacije bio 1
Razmak ili distanca između dvaju binarnih slogova jest broj
bitova za koji se razlikuju
Na taj bi se način dobio redoslijed kao kod reflektiranog koda
Kod takve bi tablice i prva i zadnja kombinacija također bile susjedne. Ako se kombinacije umjesto
jedna ispod druge slože kao na prethodnoj slici, dobit će se K-tablica
Razmak između bilo kojih dviju susjednih kombinacija sada je 1. To će svojstvo biti ključno za
postupak minimizacije
Karnaughova tablica sastoji se općenito od onoliko kvadrata koliko ima standardnih produkt-članova
za onaj broj varijabli za koji se tablica konstruirala.
Ako se tablica radi za n varijabli, bit će dakle podijeljena u 2n Kvadrata

Svaki standardni P-član zauzima dakle najmanju jedinicu površine u tablici, pa se odatle često naziva
minimalni član ili minterm.
Za određeni minterm njegov je pripadni standardni S-član jednak zbroju svih ostalih kvadrata u tablici,
dakle maksimalno mogućoj površini, i zove se maksterm

Minimizacija dvaju susjednih članova u funkciji od dva člana prikazana je na slici


Primjenom teorema simplifikacije T.8 (dva P-člana svode se samo na jednu
varijablu

11
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

6-2. Objasniti pojam hazard.


Logička funkcija koju obavlja kombinacijski sklop bit će ispravno ispunjena samo u električki
stacionarnom stanju, tj. kad se završe sve prijelazne pojave koje su se dogodile nakon promjene
ulaznih varijabli
Logička funkcija je definirana samo za kombinaciju niskih i visokih razina, a ne i za neke
međuvrijednosti
Za analizu prijelaznih pojava zbog utjecaja kašnjenja koristimo sklop na slici

Na ulazu je I-sklopa varijabla A i njen komplement, pa


je izlaz f jednak logičkoj 0 za bilo koju vrijednost od A
To vrijedi za statičko stanje
Gledano dinamički situacija je drugačija jer se
komplement ulazne varijable mijenja sa zakašnjenjem
tD1 zbog prolaza kroz invertor
Stoga će kroz to vrijeme na ulazu I-sklopa biti obje varijable u stanju 1, a i izlaz mora biti u stanju 1

Izlaz će otići iz 0 u 1 tek nakon kašnjenja I-sklopa za vrijeme tD2 i trajat će tD1, tj. onoliko vremena
koliko traje vremenski interval u kojem su obje ulazne varijable u stanju 1
Na izlazu se dakle kao prijelazna pojava pojavljuje impuls, odnosno privremeno pogrešno logičko
stanje 1
Ta se pojava zove hazard odnosno rizik, jer u određenim slučajevima može prouzrokovati pogrešno
funkcioniranje digitalnog sklopa

Na slici je prikazana idealizirana situacija, dok će u stvarnosti


vremena porasta biti konačna pa će izlazni impuls imati nagnute
bridove
Osim toga djelovati će i paralelni rasipni kapaciteti u invertoru i
I-sklopu pa izlazni impuls može biti i smanjene amplitude.

12
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

KOMBINACIJSKI MODULI I MODULARNE MREŽE


7-1. Objasniti princip rada dekodera.
Dekoder je kombinacijski logički sklop koji za svaku binarnu kodnu riječ na ulazu ima poseban izlaz
koji je u stanju 1 samo kad je na ulazu ta kodna riječ
Za n ulaznih bita takvih izlaza može biti najviše 2n

Na slici je prikazan binarni dekoder od 2 bita


Budući da se dekodiraju sve ulazne kombinacije
varijabli A i B, sklop se sastoji od četiri I-sklopa
Prikazani sklop ima još jedan ulaz, obilježen s E koji
služi za aktiviranje ili deaktiviranje sklopa pa je kao
alternativna oznaka napisano AK u zagradi

Ako je E=1, jedan je od izlaza u stanju 1


Ako je E=0, onda je sklop deaktiviran i svi su izlazi u
stanju 0

Na slici je prikazan simbol dekodera


Na mjestu koje je predviđeno za oznaku elementa upisano je DEK i 2/4, što
znači da se radi o dekoderu od 2 ulaza naprama 4 izlaza
Oznake X za varijable A i B kad je sklop deaktiviran označavaju da stanje tih
varijabli nije važno za određivanje izlazA

Dekoder obavlja svoju osnovnu funkciju i bez kontrolnog ulaza


Taj se ulaz najčešće ipak izvodi, kao i kod mnogih integriranih sklopova koji
obavljaju druge funkcije, jer omogućuje gradnju modularnih mreža,
proširenje funkcije sklopa i, ako je potrebno, dovođenje taktnog impulsa za
eliminiranje hazarda

Na slici je prikazan dekoder 74155 (TTL tehnologija)


U integriranoj izvedbi dekoderi se najčešće rade s NI (ili NILI)
sklopovima
Dekoderi se proizvode kao standardne komponente i ako je potrebno
izvršiti dekodiranje većeg broja ulaznih varijabli od onoga što ga
imaju standardne komponente, onda se one moraju povezati
kaskadiranjem u veću dekodersku mrežu
To se postiže kaskadiranjem dekodera (slika)
Upotrijebljeni sustandardni binarnidekoderi s dva ulaza i četiri izlaza
za realiziranje binarnog dekodera od četiri bita

Najmanje značajni bitovi dovedeni su paralelno na ulaze četiriju


dekodera od kojih je uvijek samo jedan aktivan, ovisno o stanju izlaza
dekodera u prvoj razini kaskade na ulazu koje su dva najznačajnija
bita
Ulazni se bitovi mogu dovesti i drugim redom, ali će onda i redoslijed
izlaznih stezaljki biti drugačiji
Ako dekoder nema ulaz za aktiviranje, može se u tu svrhu
upotrijebiti i ulaz s najvišim brojnim mjestom, pri čemu se naravno
gubi mogućnost iskorištavanja svih izlaznih stezaljki

13
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Dekoder je kombinacijski modul odnosno komponenta i njime se mogu ostvariti sve logičke funkcije
onolikog broja varijabli koliko ima ulaza u dekoder

7-2. Objasniti princip rada multipleksora.


Multipleksor je sklop koji informaciju prisutnu na jednome od ulaza bira odnosno selektira između
informacija prisutnih na drugim ulazima i šalje je na jedan izlaz
Zato se zove i selektor podataka

Na slici je prikazan 4 naprama 1 multipleksor


Ako je aktivirajući ulaz E u stanju 0, na izlazu je 0
bez obzira na stanje ulaza
Ako je sklop aktiviran (E=1), onda se selektirajućim
varijablama A i B odabire jedan od ulaza (D0 do
D3) i šalje na izlaz
Na drugoj slici prikazan je simbol Multipleksora

Kaskadiranje multipleksora može se provesti slično kao i


kod dekodera, a omogućuje da se s nekoliko standardnih
multipleksora manjeg opsega od potrebnoga, modularno
izgradi multipleksor s traženim brojem ulaznih bitova

Slikom je prikazan multipleksor 16/1 napravljen pomoću


pet multipleksora 4/1

14
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

7-3. Objasniti princip prijenosa podataka multipleksiranjem.


Podaci koji se istovremeno, tj. paralelno nalaze na ulazima multipleksora mogu se serijski odaslati na
izlaz ako se selektirajući bitovi sukcesivno, npr. pod utjecajem taktnog impulsa, mijenjaju od najniže do
najviše vrijednosti
Takva paralelno-serijska pretvorba podataka posebno je interesantna kad se želi izvršiti prijenos na
veće daljine i kada bi veći broj prijenosnih linija bio preskup
Naravno na prijemnoj strani treba serijske podatke obično opet pretvoriti u paralelne
To je inverzna operacija i predstavlja serijsko-paralelnu pretvorbu, a obavlja se inverznim sklopom, tj.
Demultipleksorom

Osnova takva prijenosa podataka multipleksiranjemprikazana je na slici

Kada su selektirajuće varijable ABC u


stanju 000, varijabla D0 na ulazu
multipleksora pojavit će se i na njegovu
izlazu i biti prenesena linijom do ulaza E
u dekoder koji obavlja funkciju
demultipleksora te će se ponovno pojaviti
na izlazu D0 dekodera
Nakon toga se pod utjecajem taktnog
impulsa binarni broj ABC poveća za
jedinicu i prenese podatak D1 itd.
Takav je prijenos sinkroni prijenos
podataka, jer su odgovarajući ulazni i
izlazni bitovi sinkronizirani

7-4. Prikazati osnovnu logičku shemu i objasniti princip rada


permanentne memorije.

Sklop se sastoji od dekodera i kodera

Na slici prikazan je dekoder 3/8


Općenito je na ulazu adresa od n bita
kojom se odabire, tj. postavlja u stanje
1 jedan od mogućih 2n izlaza iz
dekodera i ulaza u pojedine ILI-
sklopove
U primjeru na slici izlaz D0 spojen je na
ILI-sklopove koji na izlazu daju bitove
x0 i x2
Na isti je način određen sadržaj prve
riječi kao 1101 itd.
Informacija (adresa) koja je dekodirana
na izlazu dekodera ponovno se dakle
kodira pomoću ILI-sklopova u drugu
kodnu riječ, u pravilu s različitim brojem bitova

Niz ILI-sklopova na izlazu čini stoga koder


Na taj je način moguće »upisati« u sklop na prethodnoj slici osam riječi od po četiri bita
Čitav sklop dakle obavlja funkciju memoriranja, odnosno pamćenje binarnih informacija
To se pamćenje trajno ugrađuje fizičkim vezama i neće se izbrisati ako se sklop isključi iz napajanja
Zato se takva memorija zove permanentna ili stalna memorija, za razliku od drugih vrsta memorija
kojima se sadržaj za vrijeme rada uređaja mijenja

15
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

U takve se memorije sadržaj upisuje ili na početku pri gradnji ili se vrlo rijetko mijenja, ali ne za vrijeme
rada uređaja
Iz takve se dakle memorije informacija za vrijeme radasustava samo "čita", tj. ne "upisuje" se, već se
samo "ispisuje"
Zato se one zovu još i ispisne memorije i uobičajena je kratica za tu vrstu memorija ROM (eng. Read
Only Memory)

Slikom su prikazani simbol i tablična implementacija logičkih


funkcija x0, x1, x2 i x3

Permanentne memorije često se


upotrebljavaju za realiziranje
kompleksnijih logičkih funkcija i nekih
tipičnih zadataka kao što su konverzije
kodova, generiranje znakova,
aritmetičke funkcije itd.

BISTABIL; SEKVENCIJALNI SKLOPOVI


8-1. Objasniti princip rada bistabila.

Najjednostavniji sklop koji ima dva stabilna stanja, i stoga se zove bistabil, prikazan je na slici
Sastoji se, u načelu, od dva invertora u povratnoj vezi.

Ako je na ulazu prvog invertora 0, tada je na ulazu drugoga , tj. 1, što na izlazu drugog invertora daje
ponovno 0
Budući da izlaz drugog invertora čini ulaz u prvi, ta je situacija očito stabilna i neće se promijeniti bez
nekog vanjskog utjecaja
Drugo je moguće stabilno stanje da bude Q=1, a q=0
Ovo razmatranje vrijedi za bilo kakve izvedbe invertora, pri čemu te izvedbe ne moraju biti jednake za
oba invertora
Međutim, nužan uvjet je da logičke razine 0 i 1 budu iste kod oba invertora
Na prethodnoj slici prikazana je i izvedba osnovnog bistabila kod kojega invertore čine sklopke s
bipolarnim tranzistorima
U praksi su oba invertora redovito jednako izvedena

Kada je tranzistor T2 u zasićenju, na njegovu je kolektoru napon od približno 0,2 V koji se dovodi
preko otpornika RB na bazu tranzistora T1 i osigurava ga da bude u isključenom
Stanju. Zbog toga je izlaz na naponu UCC, što, uz odgovarajuću vrijednost otpornika RB, drži T2 u
zasićenju
Drugo je moguće stanje sklopa kada je tranzistor T1 u zasićenju, a T2 isključen

16
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Oba su ta moguća stanja stabilna, što znači da se ne mogu promijeniti bez dovoljno velike vanjske
pobude

Promjene do kojih dolazi zbog varijacija u parametrima


sklopa zbog temperature, šuma i slično ne mogu
promijeniti trenutno stanje

Stabilnost bistabila slijedi također iz prijenosne


karakteristike na slici

To je prijenosna karakteristika dvaju invertora koji su


spojeni u kaskadu, ali još nije primijenjena povratna
veza
To odgovara situaciji na prvoj slici, ali uz prekinutu vezu
između izlaza drugoga i ulaza prvog invertora
Ako se na prijenosnu karakteristiku nacrta pravac
uul=uizl, bit će moguće da se sklop nalazi jedino u
točkama presjecišta A, B ili C
Točke A i C su stabilne, što se može zaključiti iz razmatranja
utjecaja male impulsne promjene ulaznog napona (promjena izlaznog napona u oba slučaja će biti još
manja)
Situacija u točki B je drugačija. Mala impulsna promjena prouzročit će veću promjenu kada se
ponovno, nakon kašnjenja, nađe na tom istom mjestu
To će u sljedećem ciklusu dovesti do još veće promjene itd., sve dok se sklop ne smiri u onoj stabilnoj
točki prema kojoj je krenuo proces promjene
Točka B dakle nije stabilna i stanje se u toj točki naziva metastabilno stanje

Da bi bistabil mogao poslužiti kao koristan sklop, mora postojati mogućnost vanjskog utjecaja na
njegovo stanje

Slikom je prikazan bistabil (načelni sklop i simbol) s ulazima iizlazima i prikazom procesa okidanja

Impuls se dovodi preko diode koja


ne vodi dok nema ulaznog
impulsa
Ulazni se impuls naziva okidni
impuls, a promjena iz jednoga
stabilnog stanja u drugo naziva se
okidanje bistabila

Ako je tranzistor T2 na početku


isključen, tada će okidni
impuls izazvati ulazak u aktivno
područje ako su mu amplituda i
trajanje dovoljni te će početi teći
kolektorska struja
To će dovesti do pada
kolektorskog napona, što je
pokazano na prethodnoj slici
Negativni skok s kolektora
tranzistora T2 prenosi se preko otpornika na bazu tranzistora T1 i počinje njegovo isključivanje. Kad
taj skok bude dovoljno velik da tranzistor T1 uđe u aktivno područje, počinje proces regenerativne
povratne veze
Sa smanjenjem kolektorske struje kroz T1 raste napon na kolektoru, što se preko otpornika prenosi na
bazu tranzistora T2
Time prorađuje petlja pozitivne povratne veze, jer njome dovedena promjena na bazu T2 potpomaže
akciju započetu okidnim impulsom
Čim povratna veza postane regenerativna, a to se događa praktički odmah kad oba tranzistora uđu u
aktivno područje, vanjski utjecaj više nije potreban, i sklop sam završava prebacivanje iz jednoga
stanja u drugo u kojem se isključuje T1, a T2 ulazi u zasićenje

17
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

8-2. Objasniti princip rada bistabila od NI-sklopova.

Osnovni bistabil od NI-sklopova dobiva se tako da se invertori umjesto spajanjem ulaznih stezaljki
načine tako da jedna stezaljka bude na razini 1 (slika)
Tako su se dobila dva nova ulaza, x i y, koji će biti iskorišteni za upravljanje sklopoM
Sažeta tablica stanja na prethodnoj slici prikazuje ovisnost stanja o ulazu i prethodnom stanju. Ona
implicitno sadržava i vremensku dimenziju, pa se zato ne upotrebljava naziv tablica kombinacija

Dok su oba ulaza u stanju 1, bistabil će biti u jednom od mogućih stanja, 0 ili 1
Na izlazu su komplementi tih vrijednosti
Ako ulaz x ode u 0, a ulaz y ostane u 1, gornji će NI-sklop na svom izlazu imati 1, pa će biti Q = 1
Ta će vrijednost dovedena na ulaz drugog NI-sklopa uzrokovati q=0

Ako pak y postane 0, a x ostane u 1, bit će Q=0 i q=1


U slučaju da oba ulaza postanu 0, oba će izlaza biti 1
Budući da logička razina 0 upravlja sklopom, a prihvaćena je konvencija po kojoj tu ulogu ima logički
signal 1, znači da će biti x=S i y=R
Da se dobiju ulazi S i R, treba na svaki ulaz dodati invertor

Detaljniji uvid u rad sklopa dobit će se ako se razmotri odzivna različite moguće situacije na ulazu
prema sljedećoj slici

Slikom je prikazan odziv bistabila od NI-sklopova


Svaka od mogućih kombinacija ulaznih signala
predstavlja jedan vremenski interval
Prikazano je sedam intervala, počevši od intervala i
do intervala i + 6

U početnom su intervalu x i y u stanju 1, a bistabil je


u proizvoljno odabranom stanju 0
Novi interval nastupa s promjenom ulaza x
Pretpostavlja se da su prijelazi iz jednog stanja u
drugo okomiti, a kašnjenja kroz sklopove jednaka tD
Stanje 0 na ulazu x uzrokuje nakon vremena
kašnjenja Td prijelaz izlaza iz 0 u 1
Ta neposredna uzročna veza prikazana je crtkanom
strelicom
Kada postane 1, to će nakon vremena tD uzrokovati
odlazak u 0
U sljedećem intervalu, i+2, vraća se ulaz x u stanje
1, što ne mijenja stanje bistabila
U intervalu i+3 izlaz y odlazi u 0, što uzrokuje promjenu stanja bistabila iz 1 ponovno u 0
U intervalu i+4 vraća se y u 1, a bistabil ostaje u stanju 0, u kojem je bio u intervalu i+3
U sljedećem intervalu oba ulaza odlaze u 0, pa će zbog toga i oba izlaza biti u stanju 1
Kad se nakon toga oba ulaza vrate u stanje 1, stanje bistabila nije više definirano
Da se bolje shvati ta situacija, treba ponovno promatrati sklop na prvoj slici, na koji će se, u osnovi,
svesti i ovaj sklop ako su oba izlaza u stanju 1, invertori su isključeni
Kad se odstrani utjecaj koji ih prisilno drži isključenima, oba će tranzistora početi voditi
Zbog asimetrije u sklopu jedan će od njih nadvladati, pa će djelujuća regenerativna povratna veza
dovesti sklop u jedno Od stabilnih stanja

18
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Zbog slučajnog karaktera tog procesa nije unaprijed sigurno koje će to stanje biti pa to stanje mora biti
zabranjeno

8-3. Objasniti princip rada bistabila od NILI-sklopova.

Princi rada je kao u Bistabilu sa NI sklopovima

8-4. Objasniti princip rada SR-bistabila.

Sklop je zasnovan na osnovnom bistabilu od NI-sklopova, koji je dodavanjem invertora na ulaz


pretvoren u SR-sklop
Postojanje ulaznih invertora, koji su zapravo NI-sklopovi sa spojenim ulazima, omogućuje da se ti ulazi
odvoje i na njih dovedu sinkronizacijski impulsi. Dok nema sinkronizacijskog impulsa na ulazu, tj. dok
je CP=0, ulazi u sklop su zatvoreni, na izlazima je ulaznih NI-sklopova stanje 1, a osnovni je bistabil
što ga čine druga dva NI-sklopa u onom stanju u koje je postavljen u prethodnom intervalu

Tablica stanja u potpunosti karakerizira ponašanje sklopa pa se naziva i karakteristična tablica


Stanja SR, koja su u njoj prikazana, jesu stanja prije dolaska CP-impulsa, a stanje bistabila prikazano
je nakon dolaska CP-impulsa
Interval prije dolaska CP-impulsa označen je sa n, a onaj poslije njega sa n+1
I dalje vrijedi zabrana da S i R budu istovremeno u 1, što se odnosi samo na
vrijeme u kojem djeluje CP-impuls, jer je izvan tog vremena sklop neosjetljiv na ulaze
Važno je osim toga uočiti da se za vrijeme trajanja sinkronizirajućeg impulsa sklop svodi na osnovni
asinkroni bistabil, pa je, dakle, transparentan za ulazne signale
S obzirom na to trajanje CP-impulsa trebalo bi biti što je moguće kraće
Simbol sinkronog bistabila prikazan je na slici

19
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Slikom je prikazan vremenski odziv sklopa uz


pretpostavljeno početno stanje 0 i prikazane
ulazne varijable

Sinkroni SR-bistabil može se napraviti i od osnovnog bistabila od NILI-sklopova, kao što je prikazano
na slici

Taktni impuls dovodi se istovremeno


na ulaze dvaju I-sklopova

Ako je R u stanju 1, a S u stanju 0,


pobudni taktni impuls doći će na ulaz
gornjeg NILI-sklopa u osnovnom
bistabilu pa će izlaz Q poprimiti
vrijednost 0 (ili ostati u 0)
To će stanje bistabil zadržati i nakon
prestanka takta

20
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

REGISTRI I BROJILA
9-1. Prikazati i objasniti princip rada registra.
Registar je niz bistabila u kojima se pamti, odnosno registrira binarna informacija
Veličina registra, tj. broj bistabila, obično je jednaka standardnoj količini informacije koju obrađuje
digitalni sustav. To je, u pravilu, jedna riječ ili znak
Osim bistabila registar ima i logičke sklopove koji služe za kontrolu ulaza informacije
Tipičan registar prikazan
je na slici
Ulazni bitovi a0 i a1 djeluju na ulaze SR bistabila
preko I-sklopova, koji će biti propusni za ulaznu
informaciju ako je kontrolni ulaz L=1
Istovremeno komplementi ulaznih varijabli djeluju na
ulaze odgovarajućih bistabila

Ako je npr. a0=1, na ulazu R bit će 0 i kad dođe taktni


impuls CP, u bistabil B0 upisat će se 1
Ako je a0=0, na ulazu S je 0, a na ulazu R je 1 pa će
se u bistabil upisati 0
Ako je kontrolni signal L=0, ulaz je u bistabile registra
onemogućen i u njemu se nalazi informacija koja je
ranije upisana
Kada je L=1, informacija prisutna na ulazima a0 i a1
upisuje se istovremeno, tj. električki paralelno u
odgovarajuće bistabile pa je to registar s paralelnim
ulazom
Pod djelovanjem taktnog impulsa CP informacija se upisuje, odnosno ukrcava u registar pa se
kontrolni ulaz obično označava sa L, prema engleskoj riječi load (nakrcati)
U složenijim shemama registar se često prikazuje pojednostavnjeno, tako da se ne crtaju detaljno svi
ulazi i izlazi iz bistabila, a i razni bistabili crtaju se maksimalno zbijeno, kao na slici

Često se označavaju samo grupe bita, i to obično kao na drugoj slici, gdje je registar podijeljen na dva
dijela, u prvome su bistabili B0 do B7, a u drugom B8 do Bn-1

21
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

9-2. Prikazati i objasniti princip rada posmačnog registra.


U prethodno razmatranom sklopu registra bistabili nisu međusobno povezani
Za mnoge je primjene važno da se podaci mogu serijski pomicati iz bistabila u bistabil
Takav se sklop naziva posmačni registar (eng. shift register)

Posmačni registar na prethodnoj slici realiziran je s tri D-bistabila


D-bistabil je elementarna ćelija za memoriranje jednog bita, koja se koristi i kao element za kašnjenje
koji informaciju s ulaza produžava za jedan vremenski interval
Oznaka D potječe od oba ta poimanja djelovanja, tj. Od engleskog data za podatak, odnosno delay za
kašnjenje
Broj bistabila nije ograničen, a može se upotrijebiti i drugi tip bistabila, što će se kasnije razmatrati
Međutim, bitno je da u bistabilu postoji dovoljno kašnjenje, tako da na sljedeći bistabil, dok je pod
utjecajem taktnog impulsa, djeluje samo izlaz iz prethodnog bistabila
Informacija na ulaz dolazi serijski kao niz bita kojima se vrijednost mijenja, u skladu s pravilima
sinkronog sekvencijalnog sklopa, sinkrono pod utjecajem takta

Neka je na početku registar u stanju 000, tj. svi su bistabili u stanju 0 a na serijskom ulazu je stanje 1
Nakon dolaska taktnog impulsa prelazi B0 u 1, a B1 i B2 ostaju u 0
Nakon prvog impulsa ulaz postaje 0
Drugi impuls upisuje to u B0, a istovremeno pomiče sadržaj B0 u B1
Taj se proces može pratiti na
dijagramu valnih oblika na
sljedećoj slici

Na svaki taktni impuls ulazna


se informacija upisuje u B0, a
sadržaji se svih bistabila
pomiču za jedno mjesto
udesno
Na izlazu se tako pojavljuju
redom bitovi zapisani u B2,
B1 i B0
To pomicanje vidi se jasno na
prethodnoj slici
Kad bi se na prozirnom papiru
nacrtao ulazni valni oblik, onda bi se on mogao stavljati u dijagram na prethodnoj slici na mjesto bilo
kojeg bistabila, samo bi svaki put trebalo valni oblik pomaknuti za jedno mjesto udesno.

22
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

9-3. Prikazati i objasniti princip rada sinkronog binarnog brojila.


Brojilo je sekvencijalni sklop koji pod utjecajem ulaznih impulsa prolazi utvrđenim redoslijedom stanja,
nakon čega se vraća ponovno na početak
Ulazni impulsi dolaze na sinkronizacijske ulaze bistabila
Ako su to taktni impulsi, onda sklop broji te impulse. Ulazni impulsi mogu doći i iz nekog drugog izvora
i ne moraju imati stalnu frekvenciju
Oblik i trajanje ulaznih impulsa mora pritom odgovarati električnim uvjetima utvrđenima za određenu
izvedbu bistabila
Brojilo od n bistabila imat će N=2n stanja i moći će brojiti do
najvećeg broja W=2n-1
Međutim, ne moraju se iskoristiti sva stanja pa brojilo može brojiti i u manjem modulu
Brojilo grupira ulazne impulse u grupu od po m članova i bilježi samo ostatak
Obično sklop šalje i izlazni signal svaki put kad je grupa puna, tj. kad ponovno dođe u početno stanje
Tako se brojila mogu kaskadirati i napraviti sklop koji broji i više znamenke broja u tom brojnom
sustavu
Brojilo na taj način određuje najnižu znamenku u sustavu s
bazom B=m
Brojilo koje broji u modulu m naziva se modulo m brojilo
Ako je redoslijed stanja brojila jednak redoslijedu brojeva u prirodnom binarnom sustavu, takvo se
brojilo zove binarno brojilo

4-bitno binarno brojilo ima tablicu uzbude kao na


sljedećoj Slici

Tablica stanja brojila ne treba sadržavati sadašnje i


sljedeće stanje, jer je sljedeće
tanje uvijek ono koje se nalazi neposredno ispod
prethodnoga u tablici
Šesnaesto je stanje jednako nultom, jer se ciklus
ponavlja
Vidljivo je da do promjene nekog bistabila dolazi tek
onda kada su svi prethodni u stanju 1
Za prvi je bistabil B0 vidljivo da on mijenja stanje sa
svakim dolaznim impulsom pa njegov ulaz T treba
stalno biti u stanju 1, što je očito i iz tablice ulaza u
bistabile
Bistabil B1 će promijeniti stanje na svaki parni impuls
kada je B0 u stanju 1 pa je očito T1=B0
Bbistabil B2 mijenja stanje na 4, 8, 12, i 16. impuls,
prije kojih su bistabili B1 i B0 već u stanju 1
Bistabil B3 mijenja stanje na 8. i 16. impuls, prije kojih su svi ostali bistabili u jedinici

Prijenos upravljačkog ulaznog signala u


bistabile može se izvesti na dva načina:
paralelno i serijski
Sinkrono binarno brojilo s paralelnim
prijenosom dobiva se kad se kombinacijski
dio sklopa izvede s I-sklopovima u jednoj
razini, a sa serijskim prijenosom kad se ista
funkcija načini s I-sklopovima u više razina
Na slici je kombinacijski dio sklopa za
paralelni prijenos, povezan bistabilima u
točkama a, b, c, d i e
Niže je nacrtan sklop koji obavlja istu funkciju,
samo mu sklopovi slijede serijski jedan iza
drugog, i može se, umjesto gornjega, spojiti
na iste stezaljke
Na potpuno isti način može se brojilo i
produžiti, tj. povećati mu broj bistabila

23
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

9-4. Prikazati i objasniti princip rada brojila na osnovi posmačnog


registra.

To je posmačni registar spojen u prsten, gdje će informacija koja je u njemu upisana cirkulirati pod
utjecajem impulsa koji se dovode paralelno na sve taktne ulaze bistabila
Ako su početno u bistabilima upisane 0, onda se neće događati nikakve promjene pa se, sasvim
općenito, može reći da cirkuliraju nule
Ako se početno registar postavi u takvo stanje da u prvi bistabil bude upisana 1, a u sve ostale 0,
cirkulirat će ta jedinica
Registar će imati tri različita stanja prikazana u tablici i dijagramu stanja na slici

U dijagramu stanja nisu upisane vrijednosti ulaza, jer ulaz u smislu ulaza u sekvencijalni sklop ne
postoji
Pod utjecajem prvoga taktnog impulsa sklop će iz početnog
stanja 100 prijeći u stanje 010
Na drugi impuls stanje će se promijeniti u 001
Treći impuls vratit će sklop opet u početno stanje
Dakle, sklop je modulo 3 brojilo

24
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

9-5. Prikazati i objasniti princip rada binarnog asinkronog brojila.


Brojilo kod kojeg se bistabili ne prebacuju svi istovremeno pod utjecajem taktnog impulsa, već pod
utjecajem promjene prethodnog bistabila, zove se asinkrono brojilo

Slika prikazuje način spajanja i odzive sinkrono i asinkrono pobuđivanih bistabila


Sinkroni bistabil ima upravljački ulaz (ili više njih), u ovom slučaju to je ulaz T, i sinkronizacijski ulaz
Asinkroni bistabil ima samo jedan ulaz čijim utjecajem nastaje promjena
Asinkrono spojeni bistabil B0 promijenit će stanje kad ulaz prijeđe iz 1 u 0, što vrijedi i za bistabil B1
Ta dva prijelaza nisu istovremena, tj. nisu sinkrona
Prijelaz na slici dvostruko se brže izvodi sinkrono nego asinkrono
Prednost je asinkronog brojila jednostavnija i zato jeftinija izvedba

Brojilo na slici ima 3 bistabila i ukupno 23=8 stanja


Rad brojila može se jasno pratiti na vremenskom dijagramu prikazanom na sljedećoj slici

Početno su svi bistabili u 0, što


se postiže signalom za brisanje

Na dijagramu su aktivni
negativni prijelazi, odnosno
prijelazi 1→0, označeni
strelicom
Prvi ulazni impuls prebacuje
bistabil B0 iz 0 u 1
Drugi impuls vraća bistabil B0 u
stanje 0 i djeluje na bistabil B1
Kašnjenje prolaskom signala
kroz bistabile tDB i najveće je
kod prijelaza iz stanja 111
ponovno u 0
Ukupno kašnjenje je tada 3tDB
Vrijeme kašnjenja cijelog brojila od n bistabila iznosi ntDB

25
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

DIGITALNA ARITMETIKA; ALGORITMI I SKLOPOVI


10-1. Prikazati i objasniti princip rada sklopa za zbrajanje dvaju binarnih
brojeva.
Najjednostavnija, ali i osnovna aritmetička operacija u računalu jest zbrajanje dviju binarnih
znamenaka
Pravila su zbrajanja jednostavna:

Prva tri slučaja daju kao rezultat jedan bit, dok pri zbrajanju dviju jedinica i rezultat ima dva bita
Jedan bit pa to 0, ostaje na istom mjestu, a drugi bit (1) prelazi na više brojno mjesto pa se zove
prijenos (eng. carry)
Operacija zbrajanja koja uzima kao rezultat samo jedan bit jest modulo 2 suma (ili suma po modulu
2)
Da se napravi sklop za obavljanje te funkcije, treba prvo pridružiti numerička značenja logičkim
razinama
Najjednostavnije i uobičajeno je pridružiti logičkoj razini 0 značenje znamenke 0 u binarnom sustavu, a
logičkoj razini 1 značenje znamenke 1 u tom istom sustavu
Sklop za zbrajanje imat će dva ulaza (a i b) i dva
izlaza. Jedan izlaz je modulo 2 suma (S), a drugi
prijenos (P)

Drugom slikom je prikazan sklop za zbrajanje


dvaju binarnih znamenaka
Modulo 2 suma se implementira
logičkom funkcijom ISKLJUČIVO ILI
Funkcija prijenosa je logički I-sklop

Sklop za zbrajanje dvaju binarnihznamenaka zove


se poluzbrajalo (eng. half-adder)

Razlog je u tome što za realizaciju zbrajala za tri binarne znamenke


trebaju dva poluzbrajala, kao što se vidi iz sljedećeg razmatranja
Često upotrebljavani simbol za taj sklop prikazan je na slici
Operacija zbrajanja dvaju binarnih brojeva toliko je česta da je opravdano izvoditi je u jednome, a ne u
više koraka
Da bi se to postiglo, mora se raspolagati sklopom za zbrajanje triju binarnih znamenaka

10-2. Prikazati i objasniti princip rada sklopa za zbrajanje triju binarnih


brojeva.
26
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Zbrajanje triju znamenaka može se izvršiti s dva poluzbrajala

Najprije se dvije znamenke, a i b, zbroje pomoću prvog poluzbrajala te se dobije njihova suma S1 i
prijenos P1
Rezultat zbrajanja prvih dviju znamenaka zbroji se u drugom poluzbrajalu s trećom znamenkom c i
rezultat je suma S
Na drugoj slici nacrtan je uobičajeni simbol za taj sklop
Funkcija potpunog zbrajala (eng. full-adder) označena je slovom F

10-3. Prikazati i objasniti princip rada sklopa za paralelno i za serijsko


zbrajanje binarnih brojeva.

A)Paralelno
Ako su dva binarna broja istovremeno, tj. paralelno prisutna u digitalnom
sustavu,mogu se zbrojiti kao na slici
Ulaz čine trobitni brojevi a2a1a0 i b2b1b0

Najmanje značajni bitovi dovode se na ulaz poluzbrajala koje proizvodi sumu

S0 i prijenos P0 na sljedeće brojno mjesto

Prijenos P0 s prvoga brojnog mjesta zbraja se s druge dvije znamenke a1 i b1

Njihova suma je S1, a prijenos P1 zbraja se s dvije preostale znamenke a2 i b2


Konačni rezultat je suma S=S2S1S0 i prijenos P

27
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

B)Serijski
Ako su dva binarna broja predstavljena serijski (slika), onda se
zbrajanje može provesti tako da se najprije zbroje prve dvije
znamenke x0 i y0, a prijenos P zadrži dok ne stignu druge dvije
znamenke, a onda se zajedno s njima zbroji
Prijenos iz te operacije treba zadržati do sljedeće znamenke itd.
Sklop koji radi u takvom serijskom algoritmu prikazan je na
sljedećoj slici

Prijenos P treba zadržati kroz vrijeme Δt


Sinkronizacijski impulsi osiguravaju da ulazni brojevi budu međusobno usklađeni pa je i kašnjenje
najbolje tako izvesti da bude jednako kašnjenju između dva ulazna bita

To se postiže D-bistabilom koji će kroz vrijeme Δt zadržavati informaciju koja je neposredno prije toga
bila na ulazu, a to je iznos prijenosa P

28
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

10-4. Prikazati i objasniti princip rada sklopa za odbijanje dvaju binarnih


brojeva.
Dvije se binarne znamenke mogu neposredno odbiti u skladu s pravilima odbijanja u binarnom
sustavu:

Prva tri broja daju kao rezultat 1 bit


Kod posljednjeg slučaja, kada je suptrahend veći od minuenda. Ne može se izvršiti odbijanje ako se
ne posudi, tj. uzme zajam s višega brojnog mjesta
S višega brojnog mjesta uzima se jedna jedinica

Usporedba s poluzbrajalom pokazuje da je


funkcija diferencije jednaka funkciji sume, a
funkcija se zajma razlikuje od prijenosa po
tome što joj je jedna varijabla komplementirana

Ovaj se sklop naziva poluodbijalo i od


poluzbrajala se razlikuje još i u tome što mu se
ulazne varijable ne mogu međusobno zamijeniti

Ako se varijable zamijene, diferencija doduše neće biti različita, ali će biti različita funkcija zajma.

10-5. Prikazati i objasniti princip rada potpunog odbijala


Potpuno odbijalo jest sklop koji osim odbijanja suptrahenda od minuenda na k-tom mjestu odbija još
od tog rezultata i zajam Zk-1 koji je učinjen s nižega brojnog mjesta
Tablica kombinacija prikazana je na slici
Sklop se potpunog odbijala može realizirati i s dva poluodbijala na isti način
kao što je to učinjeno s poluzbrajalima za realizaciju potpunog zbrajala

Izlaz D iz prvog
poluodbijala vodi se
na ulaz M drugoga,a
na ulaz S drugog
vodi se zajam s nižeg
brojnog mjesta
Zajam za više brojno
mjesto rezultira kad
postoji zajam iz
prve ili iz druge
operacije
poluodbijanja
Sklop je prikazan na slici, pri čemu su sklopovi poluodbijala označeni s HS (prema eng. half-
subtractor)

29
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

10-6. Prikazati i objasniti princip rada organizacije digitalnog sustava za


sekvencijalno množenje dvaju binarnih brojeva.
Da bi se izvelo množenje u bilo kojemu brojčanom sustavu, potrebno je znati tablicu množenja
Posebno je jednostavna tablica množenja u binarnom sustavu:

Prijenosa nema, a množenje se može realizirati običnom logičkom I-funkcijom, tj. logičkim produktom
koji je jednak aritmetičkom produktu u binarnom sustavu
Množenje u svim sustavima sastoji se u dobivanju parcijalnih produkata i njihovu sumiranju uz posmak
Kombinacijskim sklopovima može se riješiti i ovaj problem te napraviti sklop koji će na izlazu davati
produkt binarnih brojeva na ulazu
Međutim, sklop je u nekim slučajevima vrlo velik, a osim toga služi samo toj svrsi pa je dobar dio
vremena neiskorišten
Zato se problem često rješava upravo onako kako je to uobičajeno na papiru, tj. tvorbom parcijalnih
produkata i zatim njihovim zbrajanjem
Za takav su sustav potrebna tri registra, sklop za zbrajanje i naravno sklop za kontrolu čitave operacije
Algoritam je prikazan na sljedećoj slici na istom primjeru koji je upravo razmotren
U registar M upiše se multiplikand, tj. broj koji se množi, u ovom primjeru 110
U registar Q upiše se multiplikator, tj. broj kojim se množi
Registar A je akumulator jer se u njemu akumuliraju rezultati i početno je prazan, tj. u stanju 0

Organizacija digitalnog sustava za sekvencijalno množenje dvaju binarnih brojeva na opisani način
prikazana je na slici

Sadržaj registra M, koji ima n bita,


vodi se preko n I-sklopova na ulaze
n-bitnog zbrajala
Umjesto da se crta n I-sklopova
nacrta se samo jedan u koji
ulazi sabirnica iz registra M i
upravljački ulaz iz upravljačkog
uređaja

Ako je upravljački signal 1, sadržaj


iz registra M prolazi i zbraja se sa
sadržajem akumulatora A koji se
dovodi na drugi dio ulaza u zbrajalo
U slučaju pojave prijenosa P, on se
upisuje u bistabil Bn akumulatora
Upravljački uređaj također upravlja
posmacima registara A i Q i broji operacijske korake

30
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

MEMORIJE
11-1. Objasniti princip rada statičke poluvodičke memorije.
Memorijska je ćelija kod statičkih poluvodičkih memorija tranzistorski bistabil
Informacija je statički, tj. neprekidno prisutna, naravno uz uvjet da je stalno priključena na električni
napon
Ako nestane električnog napajanja, informacija se gubi, dakle, memorija te vrste je nepostojana
Upotreba elektroničkih bistabila kao osnovnih ćelija memorije datira još od prvog računala, ENIAC-a,
koji je završen 1945. godine
Tada su za tu svrhu upotrebljavane elektronske cijevi. Zbog njihova velikog potroška energije i velikih
dimenzija, ta je ideja brzo napuštena u korist feritnih memorija

Sklop bistabila za upotrebu u memorijama prikazan je u izvedbi s bipolarnim i MOSFET tranzistorima


(slika)

Bistabil s MOS-tranzistorima radi se od n


kanalnih tranzistora
Tranzistori moraju biti obogaćenog tipa, jer
takav tranzistor Ima prag vođenja koji je veći
od napona zasićenja, ali istog polariteta kao
i napon UDD
Takav je prag prijeko potreban da se ovakav
jednostavan bistabil može napraviti
Nedostatak prikazanih bistabila je što im se
ne može pristupiti za upisivanje i čitanje
informacije

Tipična memorijska ćelija s MOSFET tranzistorima prikazana je na slici

Tranzistori T1 i T2 čine sklopke


bistabila kojih su opterećenja diodno
spojeni tranzistori T3 i T4
Tranzistori T5 i T6 predstavljaju
sklopke za pristup bistabilu i normalno
su isključeni

Da bi se pročitala upisana informacija,


treba uključiti sklopke T5 i T6
impulsom za čitanje koji se dovodi na
vod retka
Tom prilikom iz voda bita, koji je na
naponu UDD, poteče struja iB prema
tranzistoru T2 koji vodi
Iz voda bita neće poteći nikakva struja
jer je tranzistor T1 isključen
Ta se struja detektira obično pomoću malog pada napona koji nastaje na vodu bita diferencijskim
pojačalom ta se promjena pretvara u uobičajenu razinu nule, odnosno jedinice
Pisanje se provodi tako da se, uz uključene sklopke za vezu, spusti razina voda bita približno na nulu
Time se isključuje tranzistor sa suprotne strane
Ako se npr. spusti napon voda b, tranzistor T2 će se isključiti, što će prouzrokovati uključivanje T1
Nakon toga se napon voda bita može ponovno vratiti na UDD, sklopke T5 i T6 mogu se isključiti, a
bistabil će zadržati novonastalo stanje

31
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

CMOS-ćelija (eng. complementary MOS) samo je varijacija već opisane ćelije kojoj su tranzistori T3 i
T4 zamijenjeni komplementarnim tranzistorima
Shema takve ćelije prikazana je na slici

11-2. Objasniti princip rada dinamičke poluvodičke memorije.


U dinamičkim poluvodičkim memorijama kao element za pamćenje upotrebljava se kapacitet
Nabijeni kapacitet predstavlja 1, a nenabijeni 0
Osnovna je ćelija prikazana na slici te osim kapaciteta ima još i jedan MOS-tranzistor koji služi kao
sklopka za pristup
Ćelija se zato zove jednotranzistorska, za razliku od drugih vrsta ćelija koje imaju obično tri tranzistora
danas se gotovo isključivo upotrebljava jednotranzistorska ćelija

Kako bi se pročitao sadržaj bita zapamćenog u kapacitetu, treba


uključiti tranzistor, tako da se podigne napon voda retka
Napon koji je bio na kapacitetu pojavit će se na izlazu, tj. na vodu
bita
Time će se izbrisati informacija, pa je to destruktivno čitanje
Treba imati na umu da je vod bita dugačak, jer su na njega
priključeni i vodovi drugih redaka, pa stoga ima i relativno veliku
kapacitivnost
Čak i uz visoku impedanciju voda bita, priključivanjem memorijskog
kapaciteta C naboj koji je na njemu raspodijelit će se i pasti ispod
potrebne vrijednosti
Zbog toga se pojačalom za čitanje, kojim se detektira stanje na
vodu bita, nakon priključivanja kapaciteta, ponovno kapacitet nabija na puni napon 0 ili 1

Kapacitet C ima određeni odvod zbog kojega se izbija i kad napon padne ispod neke minimalne razine
informacija koja je bila zapisana će se izgubiti
Zato treba u redovitim vremenskim razmacima očitavati ćeliju i osvježiti njezin sadržaj

32
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

11-3. Objasniti princip rada elektromehaničke memorije


Memorije s elektromehaničkim pristupom i zapisom informacije na pokretnoj magnetskoj površini ili,
kraće, elektromehaničke memorije čine osnovnu grupu vanjskih memorija računala
Na slici je prikazana osnovna koncepcija

Elektromagnet sa zračnim rasporom, koji se zove glava za pisanje i čitanje, nalazi se neposredno
iznad pokretne magnetske površine
Magnetski sloj je vrlo tanak, tipično od 1 do 10 μm, pa je nanesen na neku deblju podlogu koja nije
magnetična
Magnetski materijal ima pravokutnu petlju histereze i može se magnetizirati samo u smjeru
magnetskog sloja
Kad se kroz svitak glave za pisanje propusti električna struja, magnetsko polje iz zračnog raspora
glave prodire u magnetski sloj i horizontalna komponenta tog polja vrši premagnetiziranje u jedan od
dva moguća smjera
Nakon izvršene premagnetizacije magnetski sloj se pomakne (zapravo se pomiče kontinuirano) i
nakon toga se magnetizira sljedeći odsječak magnetskog sloja
Jednom smjeru magnetizacije odsječka može se pridijeliti značenje 1, a drugome 0

Čitanje je obrnuti proces od upisivanja

Optički su diskovi također memorije s elektromehaničkim pristupom informacijama, a razlikuju se od


magnetskih po tome što je princip čitanja i upisivanja optički
Glavna je prednost optičkih diskova prema magnetskima što im tragovi mogu biti isto tako blizu kao i
bitovi u tragu, a to omogućuje postizanje kapaciteta većeg za jedan red veličine

Permanentni (neizbrisivi) optički diskovi obrađuju se toplinom laserskog snopa kojim se formiraju
nereflektirajuće udubine (rupe) u sjajno
reflektirajućoj površini ploče (slika)

Ovakva ideja zapravo nije nova.


Još u prvim danima računala bile su u upotrebi
papirnate trake i kasnije kartice i kod tih je medija
informacija zapisivana tako da rupica
predstavlja 1, a nedostatak rupice 0
Čitanje je također bilo optičko, iako ne s laserskim,
već s običnim svjetlosnim snopom koji je detektiran
fotodiodom

33
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

GENERIRANJE, OBLIKOVANJE I PRIJENOS SIGNALA


12-1. Objasniti princip rada Schmittovog okidnog sklopa.
Schmittov [Šmit] okidni sklop (eng. Schmitt trigger) emiterski je vezani multivibrator čiji je osnovni
sklop prikazan na slici

Zbog svojih posebnih svojstava on je vrlo koristan u


upotrebi kao diskriminator naponske razine i
oblikovač impulsa

Ako je ulazni napon manji od neke granične


vrijednosti U1, tranzistor T1 ne vodi, njegov je
kolektor približno na naponu UCC, što preko djelitelja
R1-R2 drži tranzistor T2 u stanju vođenja
Kroz tranzistor T2 teče kolektorska struja koja na
otporniku RE stvara pad napona UE
Pad napona na RE je u tom trenutku veći od napona
na ulazu, što čini bazu prvog tranzistora reverzno
polariziranom
Kad napon na ulazu dostigne kritičnu razinu U1,
tranzistor T1 se uključuje i počinje teći struja iC1
koja smanjuje potencijal kolektora prvog tranzistora
Taj se negativni skok prenosi preko R1 na bazu
drugoga tranzistora i smanjuje njegovu kolektorsku struju
Time se smanjuje potencijal emitera, što povećava napon između baze i emitera prvog tranzistora te
uzrokuje povećanje njegove kolektorske struje

Postoji, dakle, pozitivna povratna veza ili regeneracija preko emitera, što uzrokuje naglo
prebacivanje struje iz tranzistora T2 u tranzistor T1
Pojačanje u petlji povratne veze mora biti veće od 1
Znači, tranzistor se T2 isključuje, a tranzistor T1 uključuje
S daljnjim povećanjem napona Uu povećava se struja iC1, ali
tranzistor T2 ostaje i dalje isključen
Kad ulazni napon pada, onda će kod nekog napona U2 koji je manji od napona U1 doći ponovno do
prebacivanja vođenja s jednog tranzistora na drugi

Prijenosna karakteristika, tj. ovisnost izlaznog napona o ulaznom naponu, prikazana je na slici

Prijenosna karakteristika ima histerezu

Napon je histereze UH=U1-U2

Schmittov okidni sklop je regenerativni naponski komparator, pa se


katkada tako i naziva
Služi i kao oblikovač kojim se izobličeni i nepravokutni impulsi mogu
oblikovati u pravokutne (slika)

Ako je ulazni napon tako namješten da se nalazi čvrsto između U1 i


U2, sklop postaje bistabilan

Schmittov je okidni sklop analognodigitalan

Ulaz mu je analogan, a izlaz digitalan

Standardni simbol IEC za taj sklop prikazan je na slici

34
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

12-2. Objasniti princip rada monostabila.


Monostabil ima jedno stabilno i jedno kvazistabilno stanje
Sklop se normalno nalazi u stabilnom stanju, a pod utjecajem okidnog impulsa prelazi u kvazistabilno
stanje i nakon određenog vremena T vraća se sam opet u stabilno stanje

Osnovni sklop monostabila predstavlja monostabilni multivibrator (slika)

U stabilnom stanju tranzistor T2 je u zasićenju, a T1 je isključen


Otpornik R određuje struju tranzistora T2, a napon zasićenja tog tranzistora od oko 0,2 V osigurava da
T1 bude isključen
Okidni impuls dolazi na bazu tranzistora T3 koji provede i potegne kolektor tranzistora T1 također
prema dolje
Taj se naponski skok preko kapaciteta C prenosi na bazu tranzistora T2 i sa istim regenerativnim
mehanizmom kao i kod bistabila prebacuje se vođenje s tranzistora T2 na tranzistor T1

Veza između tranzistora T1 i T2 nije istosmjerna, već ide preko kapaciteta C, zbog čega to stanje ne
može biti stabilno

Okidanje se može provesti i na drugi način, pa tranzistor T3 nije sastavni dio osnovnog sklopa
Uključivanjem tranzistora T1 napon će na njegovu kolektoru pasti od UCC na napon zasićenja UCS
Taj isti negativni skok prenosi se preko kapaciteta C na B2 i odmah isključuje T2

Kroz kapacitet C i otpornik R teče struja nabijanja kapaciteta te valni Moblik napona na bazi B2 izgleda
kao na slici

35
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Nakon skoka od UCC-UCS u negativnom smjeru napon eksponencijalno raste prema UCC i kad
tranzistor T2 ponovno počne voditi, dolazi do regenerativne promjene i sklop ponovno naglo prelazi u
stabilno stanje
Napon baze pri kojemu će provesti tranzistor T2 bit će nešto veći od Uγ pri kojemu počinje teći struja
baze
To mora biti napon u aktivnom području pri kojemu je pojačanje u petlji povratne veze veće ili jednako
1

Pri povratku sklopa u stabilno stanje dolazi zbog prijelazne pojave do nadvišenja napona baze iznosa
ΔU
Ta se promjena u istom iznosu prenosi preko kapaciteta na kolektor C1 koji nakon toga skoka dalje
eksponencijalno raste do UCC

Standardni simbol IEC za monostabil je prikazan na slici

Logički sklopovi, posebno NI i NILI, mogu se upotrijebiti za izvođenje monostabila


Na slici je prikazan monostabil s NI-sklopovima

36
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

12-3. Objasniti princip rada astabila.


Astabilni je multivibrator sastavljen u principu od dva pojačala međusobno povezana kapacitivnim
vezama
Takav sklop neće imati stabilnih stanja, već će prelaziti iz jednoga kvazistabilnog stanja u drugo
Osnovni je sklop prikazan na slici

Sklop se može promatrati i kao dva monostabila spojena


skupa tako da jedan pobuđuje drugi
Valni su im oblici također jednaki

Naponi uC1 i uB2 odgovaraju isto tako označenim


naponima
Naponi uC2 i uB1 isti su po obliku, ali su pomaknuti po
fazi
Valni oblik napona za mnoge primjene nije dovoljno
pravokutan pa ga treba dodatno oblikovati

Prikazani sklop je vrlo jednostavan, ali ima jednu veliku manu

Pri uključivanju napon napajanja raste sporo, onda vezna CR-mreža ne može prenijeti dovoljnu
pobudu na bazu idućeg tranzistora kako bi počela regenerativna akcija
Obje će sklopke ući u zasićenje, pa će sklop biti blokiran
Zato postoje varijante toga sklopa koje imaju siguran start
Jednostavan generator pravokutnih impulsa može senapraviti od Schmittova okidnog sklopa i CR-
mreže (slika)

Kad je nakondenzatoru napon uC manji od napona U1, na izlazu je visoki napon UV pa se kapacitet
eksponencijalno nabija prema tom naponu
Kad UC dosegne vrijednost U1, izlazni napon pada na UN i kapacitet se izbija prema tom naponu

37
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Kada dosegne napon U2, izlaz se opet vraća na visoku razinu i te cikličke promjene slijede bez
prestanka jedna za drugom
Izlazni je napon pravokutna oblika, ali ako se želi da trajanje pozitivnih i negativnih poluperioda bude
jednako, treba napone U1 i U2 namjestiti simetrično prema sredini izlaznog napona

Univerzalni logički sklopovi spojeni kao invertori i povezani kapacitivno u petlju povratne veze čine
astabil prema slici

Taj se sklop u suštini ne razlikuje


od sklopova osnovnog
astabilnog multivibratora,
prikazanog na prvoj slici

Kad je potrebna veća stabilnost frekvencije osciliranja, upotrebljavaju se oscilatori s kristalom, obično
od kvarca
Kristal je smješten kao dielektrik između ploča kondenzatora
Kristal mora posjedovati piezoelektrična svojstva, što znači da se mehanički skuplja ili širi pod
utjecajem električnog polja
Rezonantna frekvencija ovisi o dimenzijama i načinu rezanja kristala
Raspoloživi su kristali s rezonantnom frekvencijom od nekoliko kHz pa do nekoliko stotina MHz
Jednostavan oscilator s kvarcom kakav se često nalazi u mikroračunalima pokazan je na sljedećoj slici

Kristal se nalazi u petlji povratne veze na mjestu koje inače zauzima obični kapacitet
Kada sklop počne oscilirati, promjene napona na kapacitetu pobudit će mehaničke oscilacije u kvarcu,
a osciliranje kvarca generirat će promjene napona na kapacitetu
To povratno djelovanje osigurat će da cijeli sklop oscilira s frekvencijom mehaničkih oscilacija kristala

38
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

ANALOGNO-DIGITALNA I DIGITALNA-ANALOGNA PRETVORBA


13-1. Prikazati i objasniti princip rada digitalno-analognog pretvornika.
Za realizaciju nekih tipova AD pretvornika upotrebljavaju se i DA pretvornici pa se stoga oni prvi
razmatraju
Osnovna je koncepcija prikazana slikom, na primjeru 4-bitnog pretvornika

Bitovi b0 do b3 predstavljaju brojeve u binarnom sustavu

Sklopke se postavljaju prema vrijednosti


odgovarajućeg bita
Ako se npr. želi pretvoriti u analognu veličinu
b3b2b1b0=1011, treba postaviti sklopke kao na
prethodnoj slici
Otpori moraju biti obrnuto proporcionalni težini
pojedinog bita
Ako je otpor koji pripada najmanje značajnom
bitu b0 jednak R (tj. R0=R/20), onda je otpornik
R1=R/21=R/2; R2=R/22=R/4; R3=R/23=R/8

Općenito je za i-ti bit otpornik Ri=R/2i

Struje koje teku kroz otpornike ako su pripadni


bitovi u stanju 1 jesu: Ii=UR/Ri=(UR/R)2i

Unavedenom je primjeru struja koju daje


najmanje značajni bit jednaka I0, struja bita b1
je 2I0, struja I2=0, a struja I3=8I0
Sve se te struje zbrajaju u zajedničkom vodu,
pa je u konkretnom slučaju struja la=11I0, što
odgovara dekadskom ekvivalentu broja 1011 koji je na ulazu
Na isti se način može pretvoriti u analogni oblik broj izražen u bilo kojem težinskom kodu
Otpornici moraju pritom biti obrnuto proporcionalni težini pojedinog bita, tako da struja bude
proporcionalna težini
Napon U je referentni napon i mora biti stalan
Izlazna analogna veličina je u ovom slučaju struja

Za najveći broj primjena potrebno je, međutim, imati napon


Pretvoriti analognu struju la u analogni napon moglo bi se npr. tako da se stavi otpornik u zajednički
vod i s njega uzima napon
DA pretvornik s netežinskom mrežom otpora prikazan je na slici

Mreža se sastoji od otpornika s vrijednostima R i 2R, pa neće predstavljati nikakav problem u


proizvodnji

39
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Ljestvičasta mreža ima svojstvo da je njen unutarnji otpor prema Theveninu, gledano s ulaza svakog
čvora prema lijevom kraju, jednak R

13-2. Prikazati i objasniti princip rada DA pretvornika s brojilom.

U brojilo se upiše , tj. B-ti komplement broja N koji se želi pretvoriti u analogni signal
Nakon toga se impulsom START prebaci bistabil u stanje 1, čime se otvara ulazni I-sklop i dovode
taktni impulsi CP na ulaz brojila
Istovremeno kada Q ode u 1, aktivira se generator pilastog napona
Brojilo će doći u nulti položaj nakon N impulsa,a povratak u nulu vratit će i bistabil u nulu
Time će se prekinuti daljnje generiranje pilastog napona i na izlazu će biti analogni napon Ua
proporcionalan broju impulsa
Točnost i stabilnost ovoga pretvornika ovisit će o stabilnosti frekvencije CP-impulsa ili kojega drugog
pogodnog izvora signala, te o točnosti i stabilnosti generatora pilastog napona
Brzina odziva ovisit će o broju koji se pretvara i neće biti stalna kao kod pretvornika s otporničkim
mrežama

13-3. Prikazati i objasniti princip rada analogno-digitalnog pretvornika.


AD pretvornik koji ulazni analogni napon pretvara najprije u odgovarajući vremenski interval prikazan
je na slici

Takav AD pretvornik naziva


se amplitudno-vremenski
(Wilkinsonov) pretvornik
Ulazna analogna veličina Ua
nalazi se na jednom od
ulaza naponskog
komparatora K
Na drugom ulazu je napon
0, pa je izlaz iz komparatora
na niskoj razini

Proces pretvorbe započinje impulsom START koji postavlja bistabil u 1. Time se otvara ulaz u brojilo i
brojilo počinje brojiti impulse iz generatora impulsa
Istovremeno je pobuđen i generator pilastog napona koji je spojen na drugi ulaz komparatora

40
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Kad pilasti napon naraste do vrijednosti ulaznog


napona, izlaz iz komparatora odlazi na visoku razinu i
vraća bistabil u stanje 0
Time se završava pretvorba napona u vrijeme

Za vrijeme T dok je I-sklop otvoren, impulsi iz


generatora pokreću brojilo, a nakon završetka
pretvorbe stanje brojila pokazuje traženu digitalnu
veličinu u koju je pretvoren ulazni napon
Točnost pretvorbe ovisi u prvom redu o stabilnosti
frekvencije generatora impulsa i o linearnosti i
stabilnosti pilastog napona
Pogreška od jednog impulsa može nastati i zbog
nesinkronizma između otvaranja I-sklopa i dolaska
impulsa iz generatora

Nedostaci Wilkinsonova pretvornika mogu se ispraviti


ako se umjesto generatora pilastog napona upotrijebi
DA pretvornik s otporničkom mrežom

13-4. Prikazati i objasniti princip rada brojećeg AD pretvornika.


Shema takva AD pretvornika (brojeći AD pretvornik) prikazana je na slici

Na impuls START otvori se kao i prije brojilo čije se stanje pretvara ponovno u analogni napon
pomoću DA pretvornika

41
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

Analogni napon poraste za jedan korak tek nakon


dolaska prvog impulsa, pa je time riješen problem
početne sinkronizacije

Analogni napon UDA na izlazu iz DA pretvornika


raste za po jednu stepenicu sa svakim novim
impulsom koji unapređuje stanje brojila
Kad napon UDA dođe do vrijednosti ulaznog
analognog napona ili je prijeđe, proces se
zaustavlja
Budući da je taj skok rezultat ulaznog impulsa u
brojilo, novi impuls više ne može proći, pa je time
riješen i problem sinkronizacije na kraju pretvorbe
Iako taj proces traje određeno vrijeme koje kao i
kod prijašnjeg sklopa ovisi o veličini analognog
napona, princip pretvorbe nije u pretvaranju
napona Ua u vrijeme, već u brojenju ulaznih
impulsa, pridruživanju svakom impulsu određene
naponske vrijednosti i sumiranju doprinosa tih
impulsa
Zato se taj pretvornik i zove brojeći (eng. counting
convertor)

Osnovni nedostatak obaju ovakvih pretvarača je u


relativno dugom vremenu koje je potrebno da se
izvrši pretvorba većih ulaznih napona

Ako je npr. frekvencija oscilatora (mogu biti i taktni


impulsi) 1 MHz, a rezolucija 10 bita, onda će
pretvornik za najviši napon imati 210-1=1023 koraka i maksimalno vrijeme pretvorbe 1023 μs

42
Toni Kučić – Dr.sc. Boris Sviličić, docent - Pitanja i odgovori Završnog ispita iz Digitalne Elektronike

13-5. Prikazati i objasniti princip rada paralelnog AD pretvornika.


Najbrže se pretvaranje analognog napona u digitalni može izvesti mrežom paralelnih komparatora kao
na slici
U primjeru na slici izlazni digitalni
podatak ima tri bita, a u skladu s time je
ulazni analogni napon podijeljen u
osam intervala

Referentni je napon U višerazinskim


djeliteljem od preciznih otpornika R tako
podijeljen na sedam referentnih
naponskih razina, od 1 do 7 V
Prema tome u kojemu se intervalu
nalazi ulazni napon Ua svi
komparatori koji su u toj razini i ispod
nje imat će izlaz u stanju 1, a svi
komparatori koji su iznad te razine imat
će na izlazu 0

To je jedan netežinski kod koji se


pomoću pretvarača kodova pretvara u
binarni broj

Kad je ulazni napon ispod 1 V, svi


komparatori imaju na izlazu 0
Kad je na ulazu napon između 1 i 2 V,
izlaz k1=1
Između 2 i 3 V, izlazi k1 i k2 su u 1 itd.
Ovaj pretvornik reagira odmah nakon
što se pojavi ulazni napon, a vrijeme je
pretvorbe jednako kašnjenju kroz
sklopove
Tipičnim paralelnim komparatorom
postiže se tako vrijeme pretvorbe reda
veličine 50 ns

Mana je ovog sklopa njegova veličina, posebno broj komparatora koji za pretvornik od n bita iznosi
2n -1

43

You might also like