You are on page 1of 110

‫األستاذة ‪ :‬ب‪ .

‬أسماء‬

‫المؤسسة ‪ :‬ثانوية الشهيد محمد مناوي‬

‫السنة الدراسية ‪1010 / 1029 :‬‬


‫المحور األول ‪ :‬المنطق التعاقبي‬
‫الوحدة األولى ‪ :‬وظيفة الذاكرة ‪ ...............................................................................................................................‬ص‪4‬‬
‫الوحدة الثانية ‪ :‬القالبات ‪ ...........................................................................................................................................‬ص‪7‬‬
‫الوحدة الثالثة ‪ :‬دارة الساعـــــــة ‪ :‬التركيبة الالمستقرة ‪ ..................................................................................‬ص‪13‬‬
‫الوحدة الرابعة ‪ :‬السجالت ‪ ........................................................................................................................................‬ص‪15‬‬
‫الوحدة الخامسة ‪ :‬العدادات الالتزامنية ‪ ...............................................................................................................‬ص‪11‬‬
‫الوحدة السادسة ‪ :‬المؤجالت ‪ ..................................................................................................................................‬ص‪22‬‬
‫المحور الثاني ‪ :‬وظيفة التحكم‬
‫ص‪27‬‬ ‫الوحدة األولى ‪ :‬المتمن‬
‫‪...............................................................................................................................................‬‬

‫ص‪31‬‬ ‫الوحدة الثانية ‪ :‬وجهات النظر المختلفة للمتمن ‪................................ ..................................................................‬‬

‫ص‪32‬‬ ‫الوحدة الثالثة ‪ .:‬الهيكلة المتدرجة و المتمن المتعدد األشغوالت ‪...................................................................‬‬


‫ص‪33‬‬ ‫الوحدة الرابعة ‪ :‬دليل دراسة أنماط العمل و التوقف ‪......................................................... -GEMMA-‬‬

‫ص‪44‬‬ ‫الوحدة الخامسة ‪ :‬تجسيد المتمن بالتكنولوجيا المربوطة ‪ -‬المعقبات ‪...........................................................‬‬

‫ص‪42‬‬ ‫الوحدة السادسة ‪ :‬تجسيد المتمن بالتكنولوجيا المبرمجة لغة ‪ Grafcet‬باستعمال ‪..................... API‬‬

‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة على شكل دارات مندمجة‬


‫ص‪47‬‬ ‫‪............................. ................................................‬‬ ‫الوحدة األولى ‪ :‬دراسة الميكرومراقب ‪PIC16F84A‬‬
‫ص‪52‬‬ ‫مع ‪............. ......... ..............................................................................................‬‬
‫الوحدة الثانية ‪ :‬البرمجة بلغة المج ّ‬
‫المحور الرابع ‪ :‬وظيفة التغذية‬
‫ص‪53‬‬ ‫‪............................................................................‬‬ ‫الوحدة األولى ‪ :‬تحويل الطاقة – المحول أحادي الطور ‪-‬‬
‫ص‪33‬‬ ‫الوحدة الثانية ‪ :‬التقويم المتحكم أحادي الطور ‪..................................................................................................‬‬

‫ص‪33‬‬ ‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور ‪....................................................................................................‬‬

‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬


‫ص‪75‬‬ ‫‪............................................................................................‬‬ ‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬
‫ص‪33‬‬ ‫‪..............................................................................................................‬‬ ‫الوحدة الثانية ‪ :‬المحرك خطوة خطوة‬

‫المحور السادس ‪ :‬وظيفة التضخيم‬


‫ص‪14‬‬ ‫‪........................................................................................................‬‬ ‫الوحدة األولى ‪ :‬تضخيم اإلشارات التماثلية‬
‫ص‪15‬‬ ‫‪................................................................ .......................................‬‬ ‫الوحدة الثانية ‪ :‬تضخيم اإلشارات المنطقية‬

‫المحور السابع ‪ :‬اكتساب وتحويل المعلومات‬


‫ص‪141‬‬ ‫‪..................................................................‬‬ ‫الوحدة األولى ‪ :‬المبدأ العام لسلسلة اكتساب وتحويل المعلومات‬
‫ص‪143‬‬ ‫الوحدة الثانية ‪ :‬ملتقطــــات الجـــوار ‪...................................................................................................................‬‬

‫ص‪145‬‬ ‫الوحدة الثالثة ‪ :‬المستبدالت ‪.............................................................................................. .................... ..................‬‬


‫الفهرست‬
‫‪ .I‬وظيفة الذّاكرة )‪(la mémoire‬‬
‫‪ .1‬مفهوم الحالة والذّاكرة‬
‫‪ .2‬تشغيل الذّاكرة‬
‫‪ .II‬القالبات )‪(les bascules‬‬
‫‪ .1‬أولوية مدخل ومعادالت التشغيل‬
‫‪ .2‬تطبيقات الذاكرة‬
‫‪ .3‬القالبات التزامنية‬
‫‪ 1.3‬نمط التشغيل الالتزامني والتزامني‬
‫‪ 2.3‬مختلف أنواع القالبات التزامنية‬
‫‪ .III‬دارة الساعـــــــة ‪ :‬التركيبة الالمستقرة‬
‫‪ .1‬التركيبة الالمستقرة ذات الدارة المندمجة ‪NE 555‬‬
‫‪ .2‬التركيبة الالمستقرة ذات البوابات المنطقية‬
‫‪ .IV‬السجالت ‪( les registres) :‬‬
‫‪ .1‬تعريف‬
‫‪ .2‬سجل الذاكرة‬
‫‪ .3‬سجالت اإلزاحة‬
‫‪ .4‬استعماالت سجالت اإلزاحة‬
‫‪ 1.4‬التحكم في المحرك خطوة‪ -‬خطوة‬
‫‪ 2.4‬ع ّداد حلقي خاص‬
‫‪ .5‬سجالت اإلزاحة في الدارات المندمجة‬
‫‪ .V‬الع ّدادات الالتزامنية )‪(les compteurs asynchrones‬‬
‫‪ .1‬تعريف‬
‫‪ .2‬خصائص العدّاد‬
‫‪ 3‬طريقة إنجاز العدادات الالتزامنية‬
‫‪ 1.3‬العدّادات بدورة كاملة‬
‫‪ 2.3‬العدّادات بدورة ناقصة‬
‫‪ .4‬العدادات بالدّارات المندمجة ‪ :‬الدّارة المندمجة ‪ 7490‬العداد العشري‬
‫والثنائي‬
‫‪ .VI‬المؤجالت‪:‬‬
‫‪ .1‬تعريف‬
‫‪ .2‬أنواع المؤجالت‬
‫‪ .3‬رموز المالمس التأجيلية‬
‫‪ .4‬تجسيد المؤجالت في التكنولوجيا اإللكترونية‬
‫‪ 1.4‬المؤجالت ذات عداد (المؤجالت الرقمية)‬
‫‪ 2.4‬المؤجالت ذات خلية ‪( RC‬المؤجالت التماثلية)‬

‫المنطق التعاقبي‬

‫إن ركيزة البناء األساسية في المنطق التوافقي هي البوابة المنطقية بينما ركيزة البناء‬
‫الضرورية في المنطق التعاقبي هي "القالب" حيث هذا األخير عند توصيل مجموعة منه‬
‫فيما بينهم يقوم بعدة عمليات ‪ :‬التخزين‪ ،‬العد وإزاحة المعلومات‪ .‬ونكون بذلك ما يسمى‬
‫بالدارات المنطقية التعاقبية‪.‬‬
‫الوحدة األولى ‪ :‬وظيفة الذاكرة‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ .I‬وظيفة الذّاكرة ‪:‬‬


‫‪ -1‬مفهوم الحالة والذّاكرة ‪:‬‬
‫اإلشكالية ‪:‬‬
‫مثال ‪ : 1‬التحكم في جرس ‪ S‬بواسطة ضاغطة ‪. BP‬‬
‫‪BP‬‬ ‫‪S‬‬
‫‪0‬‬ ‫‪0‬‬ ‫متغيرات الدخول ‪BP :‬‬
‫‪1‬‬ ‫‪1‬‬ ‫متغيرات الخروج ‪S :‬‬
‫لمعرفة حالة المخرج يكفي معرفة حالة المدخل فقط ‪ ،‬إذن هذه المسألة من المنطق التوافقي (التركيبي)‪.‬‬
‫مثال ‪ : 2‬التحكم في محرك )‪ (M‬بواسطة زرين ضاغطين )‪ (m‬و )‪(a‬‬
‫في البداية المحرك في راحة‪ ،‬نشغل المحرك عن طريق نبضة على الزر )‪ (m‬ويبقى المحرك في التشغيل حتى‬
‫بعد ترك الزر )‪ . (m‬نوقف تشغيل المحرك بالضغط على الزر )‪: (a‬‬
‫على شكل جدول‪ ،‬قم بتحليل كيفية التشغيل‬
‫‪m‬‬ ‫‪a‬‬ ‫)‪(M‬‬ ‫المعالجة ‪:‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪(m) : marche ; (a) :arrêt‬‬ ‫متغيرات الدخول ‪:‬‬
‫‪1‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪(M) : Moteur‬‬ ‫متغيرات الخروج ‪:‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬
‫‪0‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫جدول الحقيقة ‪:‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪X‬‬
‫التحليل ‪:‬‬
‫نالحظ في الجدول أنه بنفس التركيبة لمتغيرات الدخول نحصل على حالتين مختلفتين لمتغيرة الخروج‪ ،‬أي أن حالة‬
‫المداخل غير كافية لتحديد حالة المخارج إذن المسألة ليست من المنطق التوافقي ‪.‬‬
‫مثال ‪ : 3‬التحكم في صعود ونزول مصعد‪.‬‬
‫يتحرك المصعد بين ثالث طوابق بواسطة ثالثة ضواغط )‪ . (E1,E2,R‬لطلب طابق نضغط على الضاغطة‬
‫الموافقة‪.‬‬
‫ماهي حالة المخارج الموافقة لحالة المداخل التالية ‪ E1E2R = 010‬؟‬
‫المعالجة ‪:‬‬
‫متغيرات الدخول ‪E1 E2 R :‬‬
‫متغيرات الخروج ‪(D) : descendant ; (M) : montant :‬‬
‫التحليل ‪:‬‬
‫لمعرفة حالة المخارج يجب معرفة باإلضافة إلى حالة المداخل الحالة السابقة للنظام ‪:‬‬

‫حالة المداخل غير كافية لتحديد حالة المخارج إذن المسألة ليست من المنطق التوافقي ‪.‬‬

‫‪4‬‬
‫الوحدة األولى ‪ :‬وظيفة الذاكرة‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫اإلستنتاج ‪ :‬لما تكون المسألة من المنطق التعاقبي‪ ،‬لمعرفة حالة المخارج ال يجب معرفة حالة المداخل فقط بل‬
‫باإلضافة إلى ذلك يجب معرفة الحالة السابقة للنظام‪ .‬ومنه نستنتج أن المخارج تتعلق بتعاقب حاالت النظام‪ ،‬وبما‬
‫أن على النظام اإلحتفاظ بالحاالت السابقة ‪ ،‬إذن فهو يحتاج إلى ذاكرة لتخزينها‪.‬‬

‫‪ -2‬تشغيل الذّاكرة ‪:‬‬


‫تحتوي الذاكرة على حالتين مستقرتين يمكن المرور من حالة إلى أخرى بالتأثير على المداخل‪.‬‬
‫أ‪ .‬الرمز العام للذاكرة ‪:‬‬

‫ب‪ .‬جدول التشغيل ‪:‬‬

‫‪ -3‬أولوية مدخل ومعادالت التشغيل ‪:‬‬


‫‪ 1-3‬أولوية للتوقيف ‪:‬‬

‫‪5‬‬
‫الوحدة األولى ‪ :‬وظيفة الذاكرة‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ 2-3‬أولوية للتشغيل ‪:‬‬

‫‪ -4‬تطبيقات الذاكرة ‪:‬‬

‫التحكم في مصباح بمالمس كهرومغناطيسي‬ ‫‪ 1-4‬تجسيد الذاكرة في التكنولوجيا الكهربائية‪:‬‬

‫التغذية الذاتية‬
‫(الذاكرة الكهربائية)‬
‫‪Auto-maintien‬‬

‫‪ 2-4‬تجسيد الذاكرة في التكنولوجيا اإللكترونية ‪ :‬القالب ‪RS‬‬

‫‪6‬‬
‫الوحدة الثانية ‪ :‬القالبات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ .I‬القالبات ‪:‬‬
‫‪ -1‬القالب ‪RS‬‬
‫‪ 2-1‬تعريف ‪:‬‬

‫‪ 2-1‬الرمز المنطقي ‪:‬‬

‫‪ 3-1‬المعادالت وجدول التشغيل ‪:‬‬

‫‪RS‬‬ ‫‪RS‬‬
‫‪Qn‬‬ ‫‪00‬‬ ‫‪01‬‬ ‫‪11‬‬ ‫‪10‬‬ ‫‪Qn‬‬ ‫‪00‬‬ ‫‪01‬‬ ‫‪11‬‬ ‫‪10‬‬
‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪x‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪x‬‬ ‫‪0‬‬
‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪x‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪x‬‬ ‫‪0‬‬

‫𝑹 = 𝟏‪𝑸𝒏+‬‬ ‫) 𝒏𝑸 ‪̅ ∗ (𝑺 +‬‬ ‫𝑹( ‪𝑸𝒏+𝟏 = 𝑺 +‬‬ ‫) 𝒏𝑸 ∗ ̅‬


‫(طريقة تجميع "األصفار")‬ ‫(طريقة تجميع "الواحد")‬

‫‪ 4-1‬التصميم المنطقي باستعمال البوابات ‪ NAND‬فقط ‪:‬‬

‫‪ 5-1‬تطبيق القالب ‪ : RS‬دارة ضد اإلرتداد‬

‫‪7‬‬
‫الوحدة الثانية ‪ :‬القالبات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ -2‬القالبات التزامنية ‪:‬‬

‫‪ 1-2‬نمط التشغيل الالتزامني والتزامني‬

‫‪ -‬نمط تأثير الساعة ‪:‬‬

‫‪ -‬أهمية النمط التزامني ‪:‬‬

‫‪ 2-2‬مختلف أنواع القالبات التزامنية ‪:‬‬

‫‪ 1-2-2‬القالب ‪ : (RST) RSH‬مدخل الساعة ‪ H‬يؤثر خالل المستوى العالي‬

‫‪8‬‬
‫الوحدة الثانية ‪ :‬القالبات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫المخطط الزمني ‪ :‬التطبيق‪( 2‬ص)‬

‫‪ 2-2-2‬القالب ‪: JK‬‬
‫يختلف القالب ‪ JK‬عن القالب ‪ RS‬فقط عند الحالة "المدخلين في الوضع ‪ " 2‬حيث تكون حالة المخرج للقالب ‪JK‬‬
‫معرفة‪.‬‬

‫المخطط الزمني ‪ :‬التطبيق‪( 1‬ص)‬

‫‪9‬‬
‫الوحدة الثانية ‪ :‬القالبات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫بطـــاقة تقنية ‪ :‬الدارة المندمجة ‪DM7473‬‬

‫‪ -‬المخطط الزمني ‪ :‬التطبيق‪( 3‬ص)‬

‫‪ 3-2-2‬القالب ‪: D‬‬

‫التشغيل ‪ :‬عند كل نبضة من نبضات إشارة الساعة ينتقل محتوى المدخل ‪ D‬إلى المخرج ‪.Q‬‬

‫‪ -‬القالبات المكافئة لـ ‪ :‬القالب ‪D‬‬

‫‪ -‬المخطط الزمني ‪ :‬التطبيق‪( 4‬ص)‬

‫‪10‬‬
‫الوحدة الثانية ‪ :‬القالبات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫بطاقة تقنية ‪ :‬الدارة المندمجة ‪74LS74‬‬

‫‪ 4-2-2‬القالب ‪: T‬‬

‫التشغيل ‪ :‬عند كل نبضة من نبضات إشارة الساعة تتغير حالة المخرج ‪. Q‬‬

‫‪11‬‬
‫الوحدة الثانية ‪ :‬القالبات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ -‬القالبات المكافئة للقالب ‪: T‬‬


‫باستعمال القالب ‪JK‬‬ ‫باستعمال القالب ‪D‬‬

‫‪12‬‬
‫الوحدة الثالثة ‪ :‬الساعة‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫دارة الساعـــــــة ‪ :‬التركيبة الالمستقرة )‪(Astable‬‬


‫إن التركيبة الالمستقرة هي عبارة عن دارة ليس لها أي حالة مستقرة‪ ،‬فبمجرد وضعها تحت التوتر يهتز مخرجها‬
‫بصورة دائمة بين حالتين عليا ودنيا‪ ،‬وتستعمل في الحصول على إشارات الساعة التي تستخدم في تشغيل الدارات‬
‫الرقمية‪.‬‬
‫‪ -1‬التركيبة الالمستقرة باستعمال الدارة المندمجة ‪: NE 555‬‬
‫أ) تعريف ‪:‬‬
‫تم تصميم الدارة المندمجة ‪ NE 555‬لتعمل كمؤقتة (فهي تسمح للنظام بالتشغيل أو تأجيل التشغيل لمدة معينة) أو‬
‫تعمل كمتعدد الذبذبات‪ .‬و تحتوي أساسا على ‪:‬‬
‫‪ ‬مضخمين عمليين يعمالن كمقارنين‪.‬‬
‫‪ ‬مضخم عملي يعمل كمضخم عاكس‪.‬‬
‫‪ ‬قالب ‪.RS‬‬
‫التصميم الداخلي المبسط للدارة ‪NE 555‬‬ ‫ب) الدارة المندمجة ‪NE 555‬‬

‫ج) التشغيل ‪:‬‬


‫‪ )1‬الحالة اإلبتدائية 𝟎 = 𝑪𝑼 ‪ S=1 :‬و ‪R=0‬‬
‫يأخذ المخرج القيمة ‪ 𝑄 = 1‬أي ‪Vout =VCC‬‬
‫و ‪ ̅ = 0‬أي المقحل محصور ومنه المكثفة في حالة شحن‬

‫𝟏‬
‫‪ )2‬المرحلة 𝑪𝑪𝑽 < 𝑪𝑼 < 𝟎 ‪ S=1 :‬و ‪R=0‬‬
‫𝟑‬
‫نفس الحالة اإلبتدائية إذن ‪ Vout =VCC :‬و المكثفة تستمر في حالة شحن‬

‫𝟏‬ ‫𝟐‬
‫‪ S=0 :‬و ‪R=0‬‬ ‫‪ )3‬المرحلة 𝑪𝑪𝑽 < 𝑪𝑼 < 𝑪𝑪𝑽‬
‫𝟑‬ ‫𝟑‬
‫حالة احتفاظ ومنه ‪ Vout =VCC :‬والمكثفة تستمر في حالة شحن‬
‫𝟐‬
‫‪ )4‬المرحلة 𝑪𝑪𝑽 > 𝑪𝑼 ‪ S=0 :‬و ‪R=1‬‬
‫𝟑‬
‫تتغير حالة المخرج ‪ 𝑄 = 0‬أي ‪Vout =0v‬‬
‫و ‪ ̅ = 1‬أي المقحل مشبّع ومنه تصبح المكثفة في حالة تفريغ‬
‫𝟏‬ ‫𝟐‬
‫‪ S=0 :‬و ‪R=0‬‬ ‫‪ )5‬المرحلة 𝑪𝑪𝑽 < 𝑪𝑼 < 𝑪𝑪𝑽‬
‫𝟑‬ ‫𝟑‬
‫حالة احتفاظ ومنه ‪ Vout =0v :‬والمكثفة تستمر في حالة تفريغ‬
‫𝟏‬
‫‪ )6‬المرحلة 𝑪𝑪𝑽 < 𝑪𝑼 ‪ S=1 :‬و ‪R=0‬‬
‫𝟑‬
‫تتغير حالة المخرج ‪ 𝑄 = 1‬أي ‪Vout =VCC‬‬
‫و ‪ ̅ = 0‬أي المقحل محصور ومنه تصبح المكثفة في حالة‬
‫شحن وتتكرر الدورة‬

‫‪13‬‬
‫الوحدة الثالثة ‪ :‬الساعة‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫د) حساب عبارة الدور ‪ T‬إلشارة الخروج ‪T = t1 + t2 : Vout‬‬


‫‪ o‬عبارة زمن شحن المكثفة ‪𝒕𝟏 = 𝑹𝒄𝒉 . 𝑪. 𝒍𝒏𝟐 :‬‬
‫‪ o‬عبارة زمن تفريغ المكثفة ‪𝒕𝟐 = 𝑹𝒅é𝒄𝒉 . 𝑪. 𝒍𝒏𝟐 :‬‬
‫مع ‪𝑙𝑛2 = 0,69‬‬
‫حسب التركيب السابق نحصل على ‪:‬‬
‫‪ 𝑡1 = (𝑅1 + 𝑅2 ). 𝐶. 𝑙𝑛2‬و ‪𝑡2 = 𝑅2 . 𝐶. 𝑙𝑛2‬‬
‫ومنه ‪𝑇 = (𝑅1 + 2𝑅2 ). 𝐶. 𝑙𝑛2 :‬‬
‫‪t1 = t2‬‬ ‫ج) اإلشارة المربعة ‪ :‬للحصول على إشارة مربعة للساعة يجب ‪:‬‬
‫أي ‪ R1 +R2=R2‬ومنه ‪ ، R2>> R1‬وهذا حل غير ممكن تطبيقه عمليا‪.‬‬
‫الحل ‪ :‬يجب تحقيق الشرطين التاليين ‪:‬‬
‫‪ -‬إضافة على التفرع مع ‪ R2‬ثنائية )‪(diode‬‬
‫‪R2 = R1 -‬‬
‫ومنه ‪ T = 0,69 (R1 + R2 ).C =2x0,69 R1.C :‬أي ‪𝑇 ≈ 1,4 R1 C‬‬

‫زمن الشحن‬
‫=‪η‬‬ ‫د) النسبة الدورية )‪:(rapport cyclique‬‬
‫الدور‬
‫‪𝑡1‬‬ ‫‪𝑡1‬‬ ‫‪1‬‬
‫=‪η‬‬ ‫=‬ ‫‪= = 0,5 = 50%‬‬ ‫حالة إشارة مربعة ‪:‬‬
‫‪T‬‬ ‫‪2t1‬‬ ‫‪2‬‬

‫مالحظة ‪ :‬إذا كان ‪ η ≠ 50%‬فهذا يعني أن اإلشارة مستطيلة‪.‬‬

‫‪ -2‬التركيبة الالمستقرة باستعمال البوابات المنطقية ‪:‬‬


‫بإمكاننا الحصول على تركيبات المستقرة إما ببوابات منطقية ‪ NAND‬أو ‪ NOR‬وباستعمال تكنولوجية ‪،TTL‬‬
‫‪ CMOS‬أو ‪ . TRIGGER‬يمثل الشكل التالي تركيبة المستقرة مكونة من بوابات منطقية ‪ NAND‬بتكنولوجيا‬
‫‪ . CMOS‬بما أن مخرج ‪ N1‬هو مدخل ‪ ، N2‬فإن اإلشارتين )‪ VS(t‬و )‪ VB(t‬تكونان دوما متعاكستين في الطور‪.‬‬

‫زمن شحن المكثفة ‪t1 =RC Ln3 = 1,098 RC :‬‬


‫زمن تفريغ المكثفة ‪t2 =RC Ln3 = 1,098 RC :‬‬

‫𝐶𝑅‪𝑇 = 2𝑅𝐶𝑙𝑛3 = 2.2‬‬ ‫أي ‪ T=2t1‬ومنه ‪:‬‬ ‫حساب الدور ‪T = t1 + t2 :‬‬

‫‪14‬‬
‫الوحدة الرابعة ‪ :‬السجالات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫السجالت ‪( les registres) :‬‬ ‫‪.II‬‬


‫‪ .1‬تعريف ‪:‬‬
‫السجل هو دارة تعاقبية تزامنية يقوم بالتخزين المؤقت للمعلومات الثنائية وهذا باستعمال مجموعة من القالبات‪.‬‬
‫إلنجاز السجالت نستعمل قالبات ‪ D‬أو قالبات مكافئة للقالب ‪: D‬‬

‫مفاهيم ‪:‬‬
‫‪ .2‬القالب يخزن بيت واحد أما السجل يخزن كلمة ثنائية (عدة أبيات)‪ ،‬إذن عدد قالبات السجل يساوي عدد أبيات‬
‫الكلمة المخزنة‪.‬‬
‫‪ .1‬كل السجالت من النوع التزامني (المتزامن) ‪ :‬مدخل الساعة مشترك بين جميع القالبات‪.‬‬

‫وتصنف السجالت حسب ‪:‬‬

‫‪ ‬اتجاه اإلزاحة ( يمين‪ ،‬يسار)‬


‫‪ ‬نوع الشحن ( التسلسل ‪ ،‬التفرع )‬
‫‪ ‬طريق خروج المعلومات ( التسلسل ‪ ،‬التفرع )‬

‫ويوجد نوعان من السجالت ‪:‬‬


‫‪ .2‬سجالت اإلزاحة )‪: (registre à décalage‬‬
‫سجل اإلزاحة هو سجل لتخزين المعلومة تمهيدا لتحريكها (أو إزاحتها) ‪.‬‬

‫‪ 1.2‬إزاحة يمين دخول تسلسلي ‪:‬‬

‫في اإلدخال التسلسلي (أو اإلخراج التسلسلي) نحتاج إلى ‪n‬‬


‫نبضة لتخزين (إخراج) كلمة ذات ‪ n‬بيت‪.‬‬

‫‪ 2.2‬إزاحة يسار دخول تسلسلي ‪:‬‬

‫‪ 3.2‬إزاحة حلقية (دائرية) يمين ‪:‬‬


‫هي إزاحة يمين مغلقة‬
‫‪ 4.2‬إزاحة حلقية (دائرية) يسار‪:‬‬
‫هي إزاحة يسار مغلقة‬

‫‪15‬‬
‫الوحدة الرابعة ‪ :‬السجالات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ 5.2‬دخول تسلسلي خروج تفرعي ‪:‬‬


‫إزاحة يسار‬ ‫إزاحة يمين‬

‫‪ 7.2‬دخول تفرعي خروج تفرعي ‪:‬‬ ‫‪ 6.2‬دخول تفرعي خروج تسلسلي ‪:‬‬

‫‪ .3‬سجل الذاكرة ‪:‬‬


‫يسمح سجل الذاكرة بتحقيق وظيفة الذاكرة وهذا بتخزين المعلومة الثنائية على شكل كلمة متكونة من ‪« n‬‬
‫» ‪ .Bits‬ويتم دخول المعلومة دفعة واحدة وكذلك الخروج وهذا خالل نبضة واحدة أي أن الزمن الالزم إلدخال‬
‫المعلومة أو إخراجها يساوي نبضة واحدة‪.‬‬
‫في سجل الذاكرة يتم إدخال المعلومة بطريقتين ‪:‬‬
‫‪ -‬إما باستعمال المداخل التزامنية للقالبات المستعملة‪.‬‬
‫‪ -‬و إما باستعمال المداخل الالتزامنية )‪(CLR , PR‬‬

‫‪ .4‬استعماالت سجالت اإلزاحة ‪:‬‬


‫‪ 1-4‬التحكم في المحرك خطوة‪ -‬خطوة ‪:‬‬

‫مخطط السجل ‪ :‬الشكل ‪2‬‬

‫‪16‬‬
‫الوحدة الرابعة ‪ :‬السجالات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ 2-4‬عداد حلقي خاص ‪:‬‬

‫‪ 5‬السجالت بالدارات المندمجة ‪:‬‬


‫‪ 1.5‬سجــــــالت اإلزاحــــــة ‪:‬‬

‫‪17‬‬
‫الوحدة الرابعة ‪ :‬السجالات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫سجل اإلزاحة ‪: 7446‬‬

‫‪ 2.5‬سجــــــل الذاكرة ‪:‬‬

‫‪18‬‬
‫الوحدة الخامسة ‪ :‬العداادات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫العدّادات الالتزامنية ‪:‬‬ ‫‪.III‬‬

‫‪ 1‬تعريف ‪ :‬العداد عبارة عن دارة منطقية تعاقبية متكونة من مجموعة من القالبات وظيفتها عد عدد نبضات‬
‫ساعة خارجية أو إشارة عد ما‪ .‬كل نبضة إلشارة التزامن تغير حالة العداد بمقدار واحد‪.‬‬

‫‪ 2‬خصائص العداد ‪:‬‬

‫‪ 3‬طريقة إنجاز العدادات الالتزامنية ‪:‬‬


‫‪ 1.3‬العدادات بدورة كاملة ‪:‬‬

‫‪19‬‬
‫الوحدة الخامسة ‪ :‬العداادات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫مالحظة ‪ :‬في العداد الكامل اإلرجاع إلى الحالة اإلبتدائية يكون بصفة آلية‪.‬‬

‫‪ 2.3‬العدادات بدورة ناقصة ‪:‬‬

‫مالحظة ‪: 1‬‬
‫في حالة العداد التصاعدي بدورة ناقصة يكون اإلرجاع إلى الصفر باستعمال مدخل اإلرجاع إلى الصفر ‪CLR‬‬
‫لكل القالبات وهذا باستعمال البوابة ‪ ،(AND) NAND‬وتربط مداخل هذه البوابة بالمخارج ‪ Q‬حسب رقم الترديد‬
‫̅̅̅̅ 𝐵𝑄 𝐶𝑄 = 𝐶‬
‫‪ N‬في التعداد الثنائي‪ .‬مثال ‪ 𝑁 = 6 = (110)2 :‬شرط نهاية العد هو 𝐴𝑄‬

‫مالحظة ‪: 2‬‬
‫في حالة العداد التنازلي بدورة ناقصة يمكن إنهاء العد بطريقتين ‪:‬‬
‫‪ .1‬الطريقة األولى ‪ :‬إنهاء العد عندما تأخذ كل المخارج القيمة «‪»1‬‬
‫في هذه الحالة يكون اإلرجاع عند الحالة اإلبتدائية ‪ .N-1‬ويمكن استعمال ‪:‬‬
‫‪ -‬إما البوابة ‪( NAND‬أو‪ )AND‬وهذا بربط مداخلها بالمخارج 𝒏𝑸‬
‫̅̅̅̅‬
‫‪ -‬و إما البوابة ‪ ( NOR‬أو ‪ ) OR‬وهذا بربط مداخلها بالمخارج 𝒏𝑸‬
‫‪ .2‬الطريقة الثانية ‪ :‬إنهاء العد عندما تأخذ كل المخارج القيمة «‪»0‬‬
‫في هذه الحالة يكون اإلرجاع عند الحالة اإلبتدائية ‪ .N‬ويمكن استعمال ‪:‬‬
‫̅̅̅̅‬
‫‪ -‬إما البوابة ‪( NAND‬أو‪ )AND‬وهذا بربط مداخلها بالمخارج 𝒏𝑸‬
‫‪ -‬و إما البوابة ‪ ( NOR‬أو ‪ ) OR‬وهذا بربط مداخلها بالمخارج 𝒏𝑸‬

‫‪20‬‬
‫الوحدة الخامسة ‪ :‬العداادات‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ 4‬العدادات بال ّدارات المندمجة ‪ :‬الدّارة المندمجة ‪ 7490‬الع ّداد العشري‬

‫التشغيل ‪:‬‬

‫النشاط حول الدارة ‪ :7440‬حصة أعمال تطبيقية‬

‫‪21‬‬
‫الوحدة السادسة ‪ :‬المؤجاالت‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ .IV‬المؤجالت‪:‬‬
‫‪ -1‬تعريف ‪ :‬التأجيل هو إجراء تأخر محسوب لتنفيذ عملية ما‪ .‬والعنصر التقني الذي يجسد وظيفة التأجيل يسمى ‪:‬‬
‫المؤجلة‪ .‬يمكن توظيف هذا التأجيل حسب ثالث حاالت ‪:‬‬

‫أ‪ .‬مؤجل العمل ‪ :‬تمر إشارة المخرج للمؤجلة إلى "‪ "2‬بعد مدة زمنية‬
‫"‪ "t1‬من بداية تطبيق إشارة المدخل‪.‬‬

‫ب‪ .‬مؤجل الراحة ‪ :‬تمر إشارة المخرج للمؤجلة إلى "‪ "0‬بعد مدة زمنية‬
‫"‪ "t2‬من نهاية تطبيق إشارة المدخل‪.‬‬

‫ج‪ .‬مؤجل العمل و الراحة ‪ :‬هو تطبيق الحالتين السابقتين معا‪.‬‬

‫‪ -2‬رموز المالمس التأجيلية ‪:‬‬

‫‪ -3‬تجسيد المؤجالت في التكنولوجيا اإللكترونية ‪:‬‬


‫‪ 1-3‬المؤجالت ذات عداد (المؤجالت الرقمية) ‪:‬‬
‫تستعمل للحصول على تأجيل قصير المدى وتحتوي أساسا على ‪:‬‬
‫‪ -‬دارة مهتزة (دارة الساعة)‬
‫‪ -‬طابق قاسم التواتر (العداد)‬
‫المبدأ ‪ :‬يعطى المخطط الزمني المقابل بحيث‬

‫‪22‬‬
‫الوحدة السادسة ‪ :‬المؤجاالت‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫الطريقة ‪ : 1‬إستغالل زمن العــد‬

‫يستغل زمن العد للتأجيل وبهذا نحصل على زمن التأجيل » ‪ « t‬من خالل العالقة ‪:‬‬
‫‪ t = N T‬مع ‪ N‬ترديد العداد‬

‫الطريقة ‪ : 2‬إستغالل خاصية قاسم التواتر‬


‫حسب المخطط الزمني السابق ‪:‬‬

‫من أجل ‪ n‬معتبر يصبح اإلرتياب ال تأثير له‪.‬‬

‫‪ 2-3‬المؤجالت ذات خلية ‪( RC‬المؤجالت التماثلية) ‪:‬‬

‫‪ 1-2-3‬المؤجلة بإستعمال مضخم عملي ‪ :‬المقارن‬

‫‪23‬‬
‫الوحدة السادسة ‪ :‬المؤجاالت‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫الحــــل ‪:‬‬
‫‪ -‬الملمس ‪ K‬في التركيب يلعب دور تشحين وتفريغ المكثفة‪.‬‬
‫‪ -‬العبارة الزمنية للتوتر‪ V𝐶 (𝑡) = 𝑉𝑐𝑐 (1 − 𝑒 −𝑡 ⁄𝜏 ) :‬مع 𝐶)𝑃 ‪𝜏 = (𝑅2 +‬‬
‫𝑉‬
‫‪ -‬العبارة الحرفية لزمن التأجيل ‪𝑉𝐶 (𝑡𝜃 ) = 𝑉𝑍 = 𝑉𝑐𝑐 (1 − 𝑒 −𝑡𝜃 ⁄𝜏 ) ⇒ 𝑒 −𝑡𝜃 ⁄𝜏 = 1 − 𝑉 𝑍 :‬‬
‫𝐶𝐶‬
‫𝑍𝑉‬ ‫𝑉‬
‫⇒ ) 𝑉 ‪𝑡𝜃 = −𝜏 ln (1 −‬‬ ‫) 𝑍 𝑉 ‪𝑡𝜃 = −(𝑅2 + 𝑃)𝐶 ln (1 −‬‬
‫𝐶𝐶‬ ‫𝐶𝐶‬

‫‪ -‬حساب القيمة الصغرى 𝑛𝑖𝑚𝜃𝑡 و القيمة الكبرى 𝑥𝑎𝑚𝜃𝑡 ‪ ،‬لدينا ‪𝑡𝜃𝑚𝑖𝑛 ≤ 𝑡𝜃 ≤ 𝑡𝜃𝑚𝑎𝑥 :‬‬
‫𝑉‬ ‫𝑉‬
‫) 𝑍 𝑉 ‪−(𝑅2 + 𝑃𝑚𝑖𝑛 )𝐶 ln (1 − 𝑉 𝑍 ) ≤ 𝑡𝜃 ≤ −(𝑅2 + 𝑃𝑚𝑎𝑥 )𝐶 ln (1 −‬‬
‫𝐶𝐶‬ ‫𝐶𝐶‬

‫‪8,1‬‬ ‫‪8,1‬‬
‫) ‪−(10 + 0)100. 10−3 ln(1 − 12 ) ≤ 𝑡𝜃 ≤ −(10 + 47)100. 10−3 ln(1 − 12‬‬ ‫ت‪.‬ع ‪:‬‬

‫𝑠‪1,124𝑠 ≤ 𝑡𝜃 ≤ 6,4‬‬

‫‪ -‬المقاومة المتغيرة ‪ P‬تقوم بتغيير زمن التأجيل‪.‬‬


‫𝜃𝑡‪−‬‬ ‫‪−6‬‬
‫=𝑃‬ ‫𝑉‬ ‫‪− 𝑅2‬‬ ‫=𝑃⇒‬ ‫‪8,1‬‬ ‫‪ -‬قيمة ‪ P‬الموافقة ‪− 10. 103 = 43,38𝑘𝛺 :‬‬
‫) 𝑍 ‪𝐶𝑙𝑛(1−‬‬ ‫) ‪100.10−6 ln(1−‬‬
‫𝐶𝐶𝑉‬ ‫‪12‬‬

‫‪𝑅3‬‬
‫𝑅 = ‪𝑉𝑅3‬‬ ‫‪ -‬حساب قيمة ‪𝑉𝐶𝐶 = 𝑉𝑐𝑐 (1 − 𝑒 −𝑡𝜃 ⁄𝜏 ) ⇒ 𝑅3 = (𝑅1 + 𝑅3 )(1 − 𝑒 −𝑡𝜃 ⁄𝜏 ) : R3‬‬
‫‪1 +𝑅3‬‬

‫أي ) 𝜏‪𝑅3 𝑒 −𝑡𝜃 ⁄𝜏 = 𝑅1 (1 − 𝑒 −𝑡𝜃 ⁄‬‬ ‫) 𝜏‪𝑅3 − 𝑅3 (1 − 𝑒 −𝑡𝜃 ⁄𝜏 ) = 𝑅1 (1 − 𝑒 −𝑡𝜃 ⁄‬‬


‫) 𝜏‪𝑅1 (1−𝑒 −𝑡𝜃⁄‬‬
‫𝑆‪𝜏 = (10 + 43,4). 103 . 100. 10−6 = 5,34‬‬ ‫𝑠‪ 𝑡𝜃 = 6‬و‬ ‫مع‬ ‫= ‪𝑅3‬‬
‫𝜏‪𝑒 −𝑡𝜃⁄‬‬
‫ومنه ‪:‬‬
‫‪−6‬‬
‫) ‪0,68.103 (1−𝑒 5,34‬‬
‫= ‪R3‬‬ ‫‪−6‬‬ ‫𝛺𝐾‪= 1,33‬‬ ‫ت‪.‬ع ‪:‬‬
‫‪𝑒 5,34‬‬

‫‪ 2-2-3‬المؤجلة بإستعمال الدارة ‪ : NE 555‬القالب أحادي اإلستقرار )‪(Monostable‬‬


‫نكبل الدارة المندمجة ‪ NE 555‬حسب دارة القالب أحادي‬
‫اإلستقرار (تركيب مؤجلة )‪ .‬عند إعطاء نبضة في المدخل‬
‫يحدث تأجيل مدته ‪ t0‬في المخرج‪.‬‬

‫تحليل التشغيل ‪:‬‬


‫الحالة االبتدائية ‪ : Q=0‬المقحل مشبع ويعمل كقاطعة‬
‫مغلوقة‪ .‬يوصل القطب‪ 7‬إلى الهيكل ويكون التوتر بين طرفي المكثفة‬
‫معدوم‬
‫تعطى نبضة الدخول ‪ : Q=1‬المقحل محصور ويعمل كقاطعة‬
‫مفتوحة‪.‬تشحن المكثفة عبر المقاومة ‪ ، RA‬وعند بلوغ التوتر بين‬
‫طرفيها ‪ 2Vcc/3‬يمر ‪ (RESET) R‬إلى المستوى ‪ 2‬وينقلب ‪ Q‬إلى‬
‫المستوى ‪0‬‬

‫مدة التأجيل ‪:‬‬


‫عند لحظة إنشاء نبضة الدخول‪ ،‬ينقلب ‪ Q‬من ‪ 0‬إلى ‪ .2‬يستنتج أنه عند‬
‫المخرج يبقى المستوى األعلى مدة ‪t0 = RA C.Ln3 :‬‬

‫‪24‬‬
‫الوحدة السادسة ‪ :‬المؤجاالت‬ ‫المحور األول ‪ :‬المنطق التعاقبي‬

‫‪ 3-2-3‬المؤجلة بإستعمال المقحل ‪:‬‬

‫نريد الحصول على تأجيل قدره ‪. 2s‬‬


‫أحسب قيمة المقاومة التي يجب ضبطها‬

‫الحل ‪:‬‬

‫) 𝜏‪𝑈𝐶 (𝑡𝜃 ) = 𝑉𝑍 + 𝑉𝐵𝐸 = 𝐸(1 − 𝑒 −𝑡𝜃 ⁄‬‬


‫𝐸𝐵𝑉‪𝑉𝑍 +‬‬
‫‪⇒ 𝑒 −𝑡𝜃 ⁄𝜏 = 1 −‬‬ ‫𝐶𝑅 = 𝜏 ‪avec‬‬
‫𝐸‬
‫𝜃𝑡‪−‬‬
‫=𝑅‬ ‫𝑉‪𝑉 +‬‬
‫) 𝐸𝐵 𝑍 ‪𝐶𝑙𝑛(1−‬‬
‫𝐸‬

‫‪−2‬‬
‫=𝑅⇒‬ ‫‪7,5+0,7‬‬ ‫𝛺𝑘‪= 37‬‬
‫‪47.10−6 ln(1−‬‬ ‫)‬
‫‪12‬‬

‫‪25‬‬
‫الفهرست‬
‫المتمن )‪(le Grafcet‬‬ ‫‪.I‬‬
‫‪ .1‬تعريف دفتر الشروط‬
‫‪ .2‬تعريف المتمن‬
‫‪ .3‬قائمة األوصاف‬
‫‪ .4‬عناصر المتمن‬
‫‪ .5‬قواعد التطور‬
‫‪ .6‬أنواع التعاقبات‬
‫‪ .II‬وجهات النظر المختلفة للمتمن‬
‫‪ .III‬دليل دراسة أنماط العمل و التوقف ‪-GEMMA-‬‬
‫‪ .1‬مقدمة و تعريف‬
‫‪ .2‬األهداف‬
‫‪ .3‬المفاهيم األساسية‬
‫‪ .4‬مستطيالت الحاالت‬
‫‪ .5‬طريقة استعمال دليل الـ ‪GEMMA‬‬
‫‪ .6‬البحث عن التطورات بين الحاالت‬
‫‪ .IV‬الهيكلة المتدرجة و المتمن المتعدد األشغوالت‬
‫‪ . 1‬الهيكلة المتدرجة ‪ :‬طريقة التحليل الوظيفي للنظام‬
‫‪ 1.1‬طريقة ‪SADT‬‬
‫‪ 2.1‬الوظيفة العامة أو الشاملة للنظام‬
‫‪ 3.1‬تجزئة تنازلية ‪ :‬التحليل الوظيفي التنازلي‬
‫‪ .2‬المتمن المتعدد األشغوالت‬
‫‪ 1.2‬مفهوم األشغولة‬
‫‪ 2.2‬تمثيل المتمن المتعدد األشغوالت‬
‫‪ .V‬تجسيد المتمن بالتكنولوجيا المربوطة ‪ -‬المعقبات ‪-‬‬
‫‪ .1‬تجسيد المتمن‬
‫‪ .2‬المعقبات ‪ -‬المنطق المربوط ‪-‬‬
‫‪ 1.2‬التكنولوجيا الكهربائية‬
‫‪ 2.2‬التكنولوجيا الهوائية‬
‫‪ .VI‬تجسيد المتمن بالتكنولوجيا المبرمجة لغة ‪ Grafcet‬باستعمال‬
‫‪API‬‬
‫‪ .1‬المبرمج اآللي الصناعي ‪API‬‬
‫‪ .2‬البرمجة بلغة ‪Grafcet‬‬

‫وظيفة التحكم‬
‫‪Fonction de commande‬‬

‫كل األنظمة اآللية تحتوي على جزئين رئيسيين‪ ،‬جزء التحكم المرسل لألوامر وجزء‬
‫اإلستطاعة المنفذ لها‪ .‬يتلقى جزء التحكم المعلومات الضرورية عن أي تغير في المحيط‬
‫عن طريق أزرار ضاغطة أو لواقط‪ ،‬وطبقا لذلك يصدر التعليمات المناسبة للجزء العملي‬
‫الذي يقوم بتنفيذها بواسطة المنفذات المتوفرة في النظام‪.‬‬

‫‪26‬‬
‫الوحدة األولى ‪ :‬المتمن ‪LE GRAFCET‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ -1‬تعريف دفتر الشروط (األعباء) ‪(cahier de charge) :‬‬


‫هو عبارة عن جملة من الشروط و األعباء يتفق عليها مسبقا‪.‬‬
‫‪ -2‬تعريف المتمن ‪GRAFCET :‬‬
‫هو أداة وصف زمنية يسمح بوصف بياني لتشغيل نظام آلي ( األداة المدروسة في السنة الثانية )‪ (SADT‬ال تسمح‬
‫بتحليل اإللتزامات الزمنية (التشغيل))‪ .‬أما كلمة " متمن " فهي اختصار لــ ‪ :‬مخطط التحكم في المراحل و‬
‫اإلنتقاالت‬
‫‪GRAPHE FONCTIONNEL DE COMMANDE D’ETAPES – TRANSITIONS‬‬

‫‪ -3‬عناصر المتمن ‪:‬‬


‫يتكون من مراحل وانتقاالت متتالية زمنيا موصولة بواسطة روابط موجهة ‪:‬‬
‫‪ ‬لكل مرحلة نلحق فعل أو عدة أفعال‪.‬‬
‫‪ ‬لكل انتقال نربط قابلية (انتقالية‪ ،‬استقبالية)‬
‫المرحلة ‪ :‬هي وضعية تكون فيها حاالت متغيرات الدخول والخروج لجزء التحكم ثابتة ونرمز لها كاآلتي ‪:‬‬ ‫أ‪.‬‬

‫ونجد ترميز لمراحل مميزة منها ‪:‬‬


‫‪ ‬المرحلة اإلبتدائية ‪:‬‬

‫قاعدة ‪ :‬نقول أن المرحلة فعالة إال إذا كانت نشطة والنقطة عالمة النشاط‪.‬‬ ‫‪ ‬المرحلة الفعالة ‪:‬‬

‫متتاليتين‪ ،‬لكل‬ ‫ب‪ .‬اإلنتقال ‪ :‬هو لحظة تغير بعض المقادير وتحدث بين مرحلتين‬
‫انتقال يوجد شرط منطقي يسمى القابلية (معادلة منطقية)‪.‬‬

‫دائما برابط‬ ‫ج‪ .‬ال ّرابــط ‪ :‬هو الخط الذي يربط مرحلتين عبر اإلنتقال‪ .‬ويغلق المتمن‬
‫موجه من المرحلة األخيرة إلى المرحلة األولى عبر انتقال‪.‬‬

‫‪ -4‬قواعد التطور ‪:‬‬


‫وتخص عملية تفعيل أو تخميل مرحلة من مراحل النظام اآللي‪ ،‬ولفهم كيفية اشتغال المتمن يجب التعرف على القواعد التالية ‪:‬‬
‫القاعدة األولى ‪ :‬التهيئة )‪ (initialisation‬المرحلة اإلبتدائية تكون فعالة عند بداية العمل أو الدورة‪.‬‬ ‫أ‪.‬‬
‫‪Étape active‬‬ ‫ب‪ .‬القاعدة الثانية ‪ :‬القبول )‪(validation‬‬
‫‪10‬‬ ‫‪Transition validée‬‬
‫نقول أن اإلنتقال مقبول حين تكون المرحلة (المراحل) السابقة له مباشرة فعالة‪.‬‬
‫‪a‬‬ ‫‪Étape inactive‬‬

‫‪11‬‬ ‫‪Transition non validée‬‬

‫‪e‬‬
‫‪12‬‬
‫ج‪ .‬القاعدة الثالثة ‪ :‬العبور )‪(franchissement‬‬
‫ويحدث عبور لهذا اإلنتقال عندما يكون ‪:‬‬
‫‪ -‬اإلنتقال مقبول‪.‬‬
‫‪ -‬قابلية هذا اإلنتقال محققة‪.‬‬
‫‪27‬‬
‫الوحدة األولى ‪ :‬المتمن ‪LE GRAFCET‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫يؤدي عبور االنتقال إلى تنشيط المرحلة (المراحل) التالية له مباشرة وإخماد فعالية المرحلة (المراحل) السابقة له مباشرة‪.‬‬
‫‪Étape inactive‬‬ ‫‪Étape active‬‬
‫‪Étape active‬‬ ‫‪Étape active‬‬
‫‪10‬‬ ‫‪Transition non validée‬‬ ‫‪Étape active‬‬
‫‪10‬‬ ‫‪Réceptivité vraie‬‬ ‫‪10‬‬ ‫‪21‬‬ ‫‪33‬‬
‫‪10‬‬ ‫‪21‬‬ ‫‪33‬‬

‫‪a‬‬ ‫‪a‬‬ ‫‪Étape active‬‬ ‫‪a‬‬


‫‪Franchissement‬‬ ‫‪a‬‬ ‫‪Franchissement‬‬
‫‪Étape active‬‬
‫‪11‬‬ ‫‪Transition validée‬‬ ‫‪Réceptivité vraie‬‬ ‫‪34‬‬
‫‪11‬‬ ‫‪34‬‬

‫‪e‬‬ ‫‪e‬‬ ‫‪AVANT‬‬ ‫‪APRÈS‬‬


‫‪12‬‬ ‫‪12‬‬
‫‪AVANT‬‬ ‫‪APRÈS‬‬

‫‪ -5‬تعريف التعاقب ‪ :‬هو عبارة عن مراحل متتالية تتحقق الواحدة تلو األخرى ‪ .‬يمكن للمتمن أن يتكون من تعاقبات متعددة وذلك‬
‫استجابة لمتطلبات التشغيل‪.‬‬

‫مفهوم التشغيل دورة بدورة )‪ : (cy/cy‬تتم الدورة بصفة آلية ولكن يتطلب تدخل المستخدم في كل مرة نريد إنجاز دورة أخرى‪.‬‬
‫مفهوم التشغيل اآللي )‪ :(auto‬تتم الدورة بصفة آلية ولكن في هذه الحالة ال يتطلب تدخل المستخدم في كل مرة نريد إنجاز‬
‫دورة أخرى‪.‬‬
‫‪ 1-6‬التعاقب الوحيد (الخطي)‪:‬‬
‫يتكون من عدة مراحل متتابعة تشكل فيما بينها سلسلة واحدة على شكل خط وحيد‪ ،‬ويكون تطورها تتابعي أي‬
‫تنشط مرحلة تلوى أخرى‪.‬‬

‫‪ 2-6‬التعاقبات الموجهة ‪:‬‬


‫‪ 1-2-6‬البنية المتناوبة المتباعدة المتقاربة‬
‫يمكن العبور من ناحية واحدة فقط وتمثل بخط واحد‬
‫» ‪convergence en « Ou‬‬ ‫‪ -‬التقارب على أو‪:‬‬
‫يمكن العبور من المرحلة ” ‪ ” 13‬الي المرحلة ”‪ “10‬إذا تحقق شرطين‬
‫‪ -‬تكون المرحلة ” ‪ ” 13‬منشطة‬
‫‪ -‬وشرط االنتقال (‪ )c = 1‬محقق‬
‫يمكن العبور من المرحلة ” ‪ ” 12‬الي المرحلة ”‪ “10‬إذا تحقق شرطين‬
‫‪ -‬تكون المرحلة ” ‪ ” 12‬منشطة‬
‫‪ -‬وشرط االنتقال (‪ )d =1‬محقق‬
‫» ‪Divergence en « Ou‬‬ ‫‪ -‬التباعد على أو ‪:‬‬
‫‪ -‬يمكن تنشيط المرحلة ” ‪ ” 14‬إذا كانت المرحلة ”‪ “10‬منشطة‬
‫وشرط االنتقال ( القابلية ) » ‪ « b=1‬محقق‪.‬‬
‫‪ -‬يمكن تنشيط المرحلة ” ‪ ” 12‬إذا كانت المرحلة ”‪ “10‬منشطة‬
‫وشرط االنتقال ( القابلية ) » ‪ « a=1‬محقق‪.‬‬
‫مالحظة ‪ - :‬ال يمكن أن تكون ‪ a‬و ‪ b‬صحيحتين في نفس الوقت ‪ .‬و ال يمكن أن تكون ‪ c‬و ‪ d‬صحيحتين في نفس الوقت ‪.‬‬

‫‪28‬‬
‫الوحدة األولى ‪ :‬المتمن ‪LE GRAFCET‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ 2-2-6‬قفز المراحل ‪saut en avant :‬‬


‫هو تحويل خاص يمكن القفز من خالله على مرحلة أو عدة مراحل إذا كانت هذه األخيرة لم تدخل‬
‫في الدورة لسبب ما ‪.‬‬
‫‪ -‬إذا توفر الشرط » ‪ « e‬يمكن العبور من المرحلة ” ‪” 20‬‬
‫( إذا كانت منشطة ) إلى المرحلة ” ‪” 23‬‬
‫‪ -‬عند توفر الشرط » ‪ « a‬يكون العبور من المرحلة ” ‪” 20‬‬
‫( إذا كانت منشطة ) إلى المرحلة ” ‪” 22‬‬

‫‪ 3-2-6‬إعادة المراحل ‪(saut en arrière) :‬‬

‫‪ -‬يمكن إعادة مراحل إذا كان شرط إكمال الدورة لم يتوفر » ‪« h ≠ 1‬‬
‫أي يتوفر الشرط » ‪ « J‬فتعاد المراحل من ‪32‬‬
‫‪ -‬عند توفر الشرط ‪ h =1‬يكون العبور إلى المرحلة ‪ 33‬إذا كانت ‪ 31‬منشطة‬

‫‪ 3-6‬التعاقبات اآلنية ‪ :‬البنية المتزامنة المتباعدة والمتقاربة‬


‫تعمل عدة مراحل منفصلة عن بعضها في أن واحد و تمثل بخط مضاعف‬
‫‪ -‬التباعد على "و" »‪Divergence en « Et‬‬
‫عندما تكون المرحلة ” ‪ ” 10‬منشطة و القابلية » ‪« A=1‬‬
‫‪ -‬فإن المرحلتان ” ‪ “12‬و“ ‪ “14‬تنشطان في آن واحد ‪.‬‬
‫‪ -‬تنشيط المرحلتين ‪ 12‬و ‪ 14‬يسبب تخميل المرحلة ‪10‬‬
‫‪ -‬التقارب على "و" » ‪convergence en « Et‬‬
‫عندما تكون المرحلتين ” ‪ 13‬و ‪ ”12‬منشطتين و القابلية » ‪ « B =1‬يتم ‪:‬‬
‫‪ -‬تنشيط المرحلة ” ‪.“10‬‬
‫‪ -‬تنشيط المرحلة ‪ 10‬يسبب تخميل المرحلة ‪ 13‬و المرحلة ‪12‬‬

‫‪ -6‬وضع المتمن في شكل عالقات ‪ :‬معادالت تنشيط وتخميل المراحل‬

‫المعادلة العامـــة ‪:‬‬


‫التنشيط ‪:‬‬

‫التخميل ‪:‬‬

‫معادلة التقارب و التباعد على "أو" ‪:‬‬

‫𝟕𝟐𝑹 𝟔𝟐𝑿 ‪𝑿𝟕 = 𝑿𝟏𝟔 𝑹𝟏𝟕 +‬‬ ‫𝟐𝑹 𝟏𝑿 = 𝟐𝑿‬


‫̅̅̅̅‬
‫𝟖𝑿 = 𝟐𝑿‬ ‫̅̅̅̅‬
‫𝟎𝟐𝑿 ‪𝑿𝟐 = 𝑿𝟏𝟎 +‬‬

‫‪29‬‬
‫الوحدة األولى ‪ :‬المتمن ‪LE GRAFCET‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫معادلة التقارب و التباعد على "و" ‪:‬‬

‫𝟔𝑹 𝟓𝑿 = 𝟔𝑿‬
‫𝟕𝟏𝑹 𝟏𝟐𝑿 𝟏𝟏𝑿 = 𝟏𝑿‬
‫̅̅̅̅‬
‫𝟎𝟐𝑿 𝟎𝟏𝑿 = 𝟔𝑿‬
‫̅̅̅̅‬
‫𝟐𝑿 = 𝟏𝑿‬

‫مثال ‪ :‬اكتب جدول المعادالت للمتمن التالي‬

‫‪30‬‬
‫الوحدة الثانية ‪ :‬وجهات النظر المختلفة للمتمن‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫دفتر الشروط ‪Cahier des charges :‬‬


‫في حالة الراحة ‪ :‬المحرك ‪ M‬متوقف و ذراعي الرافعتان ‪ C2‬و‪C1‬‬
‫داخلتان‪.‬‬
‫عند بداية الدورة نضغط علي زر االشتغال ‪ dcy‬فيقوم النظام بشد القطعة‪.‬‬
‫عندما يتم شد القطعة‪ ،‬يبدأ تنفيذ عملية الثقب ‪.‬‬
‫عندما تنتهي عملية الثقب‪ ،‬يعود ذراع الرافعة ‪ C1‬فتحرر القطعة وتنتهي‬
‫الدورة‬
‫االختبارات التكنولوجيا‬
‫التحكم‬ ‫النوع‬ ‫الجهاز‬

‫مالمس ‪24 V~ KM‬‬ ‫محرك التزامني ‪~3‬‬ ‫‪M‬‬

‫‪ M1‬موزع ‪ 2\5‬ثنائي االستقرار (‪24v~ ) dM1-,dM1+‬‬ ‫رافعة مزدوجة المفعول‬ ‫‪C1‬‬

‫‪ M2‬موزع ‪ 2\5‬ثنائي االستقرار (‪24v~ ) dM2-,dM2+‬‬ ‫رافعة مزدوجة المفعول‬ ‫‪C2‬‬ ‫‪ :dcy‬زر بداية الدورة ( زر‬
‫التشغيل‪)début du cycle‬‬
‫ملتقطات نهاية الشوط‬ ‫‪I10, I11,‬‬
‫‪I20, I21‬‬

‫‪ -‬العمل المطلوب ‪ :‬أنشئ المتمن من مختلف وجهات النظر‬

‫المتمن من وجهة نظر‬ ‫المتمن من وجهة نظر‬ ‫المتمن من وجهة نظر‬


‫جزء التحكم‬ ‫الجزء العملي(المنفذ)‬ ‫النظام‬

‫يسمح بترجمة تصرفات جزء التحكم من‬ ‫بالنسبة لهذا المتمن يتم ترجمة تصرفات جزء‬ ‫هو عبارة عن وصف‬ ‫‪.‬‬
‫وجهة نظر المنجز حيث يتم تحديد‬ ‫التحكم للحصول على النتيجة المنتظرة في‬ ‫عام لتشغيل النظام‬
‫التكنولوجيا المستعملة ‪.‬‬ ‫الجزء العملي‪.‬‬ ‫اآللي كما يراه مراقب‬
‫ويسمي بمتمن المستوى ” ‪” 2‬‬ ‫ويسمي بمتمن المستوى ” ‪” 1‬‬ ‫خارجي‬

‫‪31‬‬
‫الهيكلة المتدرجة والمتمن المتعدد األشغوالت ‪Grafcet multi-Tâche‬‬ ‫الوحدة الثالثة ‪:‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ -1‬الهيكلة المتدرجة ‪ :‬طريقة التحليل الوظيفي للنظام‬


‫‪ 1-1‬طريقة ‪:(Structured Analysis and Design Technic) SADT‬‬
‫تتلخص هذه الطريقة في تجسيم النظام بأداة بيانية بحيث تحتوي على مجموع نشاطات النظام‬
‫» ‪ « Actigrammes‬ونمثله كما يلي ‪:‬‬

‫إذن يكتب » ‪ « Actigrammes‬باإلجابة‬


‫على األسئلة ‪:‬‬
‫‪ ‬على ما ؟ على مداخل‪.‬‬
‫‪ ‬لماذا ؟ إلعطاء القيمة المضافة‪.‬‬
‫‪ ‬ماذا أفعل ؟ نشاط معين‪.‬‬
‫‪ ‬بماذا أفعل ؟ باستعمال وسائل‪.‬‬
‫‪ ‬كيف ؟ باحترام بعض المتطلبات‪.‬‬

‫‪ 2-1‬الوظيفة العامة أو الشاملة للنظام ‪:‬‬


‫هي العمل الذي يقوم به النظام لتغيير مادة اإلستخدام (المادة األولية) تحت تأثيرات المحيط الخارجي إلى مادة‬
‫نهائية‪.‬‬

‫ويرمز للنشاط البياني للوظيفة العامة بـ ‪:‬‬


‫» ‪ « A- 0‬مثال‬

‫‪ 3-1‬تجزئة تنازلية ‪ :‬التحليل الوظيفي التنازلي‬


‫يمكن تجزئة مستطيل "الوظيفة العامة للنظام" إلى عدة‬
‫مستطيالت كل واحدة منها تمثل جزءا من المستطيل الموالي‪.‬‬
‫وكل واحد من هذه المستطيالت الجديدة تكون بدورها مجزأة‬
‫إلى عدة مستطيالت أخر‪.‬‬
‫يتكون النشاط البياني للتحليل الوظيفي التنازلي من ثالثة‬
‫مستطيالت على األقل وستة على األكثر‪.‬‬

‫ويرمز للنشاط البياني للتحليل الوظيفي التنازلي‬


‫بـ ‪ « A0 » :‬مثال‬

‫‪32‬‬
‫الهيكلة المتدرجة والمتمن المتعدد األشغوالت ‪Grafcet multi-Tâche‬‬ ‫الوحدة الثالثة ‪:‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬
‫‪ -2‬المتمن المتعدد األشغوالت ‪Grafcet multiTâches (GT) :‬‬
‫طرح اإلشكال ‪:‬‬

‫‪ 1-2‬مفهوم األشغولة ‪:‬‬

‫وبالتمثيل ‪ :‬األشغولة هي عبارة عن مراحل متتالية و مربوطة فيما بينها و لها نفس الهدف ‪ ،‬و لكل أشغولة نداء‬
‫(بداية األشغولة ) وجواب ( نهاية األشغولة )‪ ،‬و تمثل بمربع له خطين متوازيين و كتب بداخله رقم األشغولة ‪.‬‬

‫‪ ” -‬م‪.‬ت‪.‬م‪.‬ن" األشغولة من وجهة نظر جزء التحكم‬ ‫‪ -‬م‪.‬ت‪.‬م‪.‬ن" األشغولة من وجهة نظر الجزء العملي ‪-‬‬
‫( متمن مستوى ‪) 2‬‬ ‫( متمن مستوى‪) 1‬‬

‫‪ 2-2‬تمثيل المتمن المتعدد األشغوالت ‪:‬‬


‫‪ 1-2-2‬التمثيل البسيط المنعزل ‪:‬‬

‫‪ 2-2-2‬تمثيل المتمن المتعدد األشغوالت ‪ :‬متمن تنسيق األشغوالت أو متمن اإلنتاج العادي ‪GPN‬‬
‫إشكـــــال ‪:‬‬

‫‪33‬‬
‫الهيكلة المتدرجة والمتمن المتعدد األشغوالت ‪Grafcet multi-Tâche‬‬ ‫الوحدة الثالثة ‪:‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫التمثيل المنسق‬ ‫الحل ‪:‬‬

‫كل جزء يبقى مستقل بجزء تحكمه لكن في حدود التنسيق المضمون من طرف‬
‫متمن تنسيق األشغوالت ‪(Grafcet de Coordination des Tâches) GCT‬‬
‫أو متمن اإلنتاج العادي ‪ .(GPN : Grafcet de production normale) GPN‬وهذا األخير هو الذي سيتداول‪.‬‬

‫‪ 3-2-2‬التمثيل المتدرج ‪ :‬متمن األمن ‪ GS‬و متمن القيادة والتهيئة ‪GCI‬‬


‫من اجل عدم إثقال أشغولة التنسيق واألشغوالت العاملة نستحدث‬
‫أشغولة األمن ‪ :‬دورها التدخل عند حدوث طارئ (تحقق شروط التوقف األمني)‬
‫أشغولة التهيئة والقيادة ‪ :‬دورها تهيئة النظام واإلذن بالتشغيل‬
‫وتتدرج هذه األشغوالت حسب مخطط سُمي بمخطط تدرج المتامن ‪:‬‬

‫)‪(GCI : Grafcet de Conduite et d’Initialisation / GS : Grafcet de sécurité‬‬

‫‪ -‬تفسير األوامــــر ‪:‬‬

‫أمر اإلرغام )‪ : (Forçage :F‬إن متمن األمن ‪ GS‬أعلى درجة من متمن القيادة والتهيئة ‪ GCI‬ومتمن اإلنتاج‬
‫العادي ‪ ،GPN‬فبإمكانه إرغامهما وذلك بتنشيط المراحل اإلبتدائية وتخميل البقية ويبقى األمر ساري المفعول‬
‫إلى غاية زواله‪.‬‬

‫أمر التهيئة )‪ : (Initialisation : I‬إن متمن القيادة والتهيئة ‪ GCI‬أعلى درجة من متمن اإلنتاج العادي‬
‫‪ ،GPN‬فبإمكانه تهيئته وذلك بتنشيط المراحل اإلبتدائية ويزول األمر بمجرد تنفيذه‪.‬‬

‫مالحظة ‪:‬‬

‫‪34‬‬
‫الهيكلة المتدرجة والمتمن المتعدد األشغوالت ‪Grafcet multi-Tâche‬‬ ‫الوحدة الثالثة ‪:‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ -‬أمثلة لمختلف المتامن ‪: GPN / GCI / GS‬‬

‫‪35‬‬
‫الوحدة الرابعة ‪ :‬دليل دراسة أنماط العمل والتوقف ‪GEMMA‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬
‫‪ -1‬مقدمة و تعريف ‪:‬‬
‫إن التطور الصناعي أدى إلى ظهور أنظمة صناعية آلية معقدة ‪ ،‬ذات أساليب متعددة للتشغيل و التوقيف‪ .‬ومن أجل تسهيل و إنجاز‬
‫و استغالل و قيادة وصيانة هذه االنظمة تم وضع أداة بيانية تسمح بوصف دقيق و إحصاء لمختلف أساليب التشغيل والتوقف ‪ .‬هذه‬
‫‪Guide D’ Etude des Modes de Marches et d’ Arrêts‬‬ ‫األداة تسمـــــــــى ‪G.E.M.M.A :‬‬
‫دليل دراسة أنماط العمل والتوقف‬

‫‪ -2‬األهداف ‪:‬‬
‫‪ .2‬قيادة النظام اآللي باستعمال مختلف أساليب العمل‪.‬‬
‫‪ .1‬إعادة النظام اآللي إلى العمل بعد توقف استعجالي أو توقف أمني أو انقطاع الطاقة‪.‬‬
‫‪ .3‬تمكين النظام اآللي من التحول من حالة كانت إلى حالة معينة أخرى‪.‬‬

‫‪ - 3‬المفاهيم األساسية للجيما ‪( G.E.M.M.A‬انظر الدليل )‬


‫الطريقة المتبعة لوضع مخطط الجيما تحتوي على مرحلتين ‪:‬‬
‫‪ -‬المرحلة األولي ‪ :‬إحصاء مختلف أساليب التشغيل و التوقف و الخلل و وضع التسلسل الذي يربطهم‬
‫‪ -‬المرحلة الثانية ‪ :‬تحديد شروط االنتقال من أسلوب إلى آخر‪ ،‬ويعتمد ذلك على ثالثة مفاهيم ‪:‬‬

‫ينقسم بيان الجيما إلى منطقتين ‪:‬‬ ‫‪ 1-3‬المفهـــــوم االول ‪:‬‬


‫المنطقة ‪ : 1‬ج ت ‪ :‬خارج التغذية‪ ،‬هذه المنطقة ليس لها أهمية في الدراسة و تمثل شكليا فقط ‪.‬‬
‫المنطقة ‪ : 2‬ج ت ‪ :‬تحت التغذية ‪ ،‬هذه المنطقة تسمح بوصف ماذا يحدث على مستوى ج ع و هذه المنطقة تغطي معظم الدليل‪.‬‬

‫في المنطقة الثانية يكون النظام في حالة إنتاج أو خارج اإلنتاج ‪ .‬ويكون النظام في حالة إنتاج إذا تم‬ ‫‪ 2-3‬المفهـــــوم الثــاني ‪:‬‬
‫الحصول على القيمة المضافة‬

‫‪ 3-3‬المفهـــــوم الثــالث ‪ :‬ينقسم ‪ GEMMA‬إلى ثالثة عائالت كبيرة ‪:‬‬


‫العائلة ‪ : F‬أساليب التشغيل‬
‫تجمع هذه العائلة جميع حاالت التشغيل الضرورية للحصول علي القيمة المضافة التي من اجلها وجد النظام ‪.‬‬
‫العائلة ‪ : A‬أساليب التوقف‬
‫تجمع جميع حاالت النظام التي تترجم حاالت التوقف أو األساليب التي تقود إلى التوقف ألسباب خارجية ( توقفات عادية ) ‪.‬‬
‫العائلة ‪ : D‬أساليب الخلل‬
‫تجمع جميع أساليب و حاالت التوقف التي تقود إلى التوقف ألسباب داخلية ‪ :‬توقفات غير عادية ‪.‬‬

‫‪36‬‬
‫الوحدة الرابعة ‪ :‬دليل دراسة أنماط العمل والتوقف ‪GEMMA‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ - 4‬مستطيالت الحاالت ‪:‬‬


‫كما هو مبين في الشكل التالي ‪ :‬كل نوع من التشغيل او التوقف المختار يمكن وصفه بمستطيل الحالة في دليل ‪GEMMA‬‬

‫مستطيل الحالة يصف مايلي ‪:‬‬

‫االنتماء إلى إحدى العائالت الثالث‪.‬‬ ‫‪‬‬


‫االنتماء أو عدم االنتماء إلى اإلنتاج ( األخذ بعين االعتبار إال الحاالت المعرفة في النظام اآللي)‪.‬‬ ‫‪‬‬
‫إذا كان مستطيل الحالة ال يدخل في االنتاج يعلم بــ ‪X :‬‬ ‫‪‬‬
‫مختلف االتصاالت الممكنة توضح بواسطة روابط موجهة ( أسهم متقطعة ) تسمح بتحديد المرور من حالة إلى حالة أخرى عند‬ ‫‪‬‬
‫توفر شروط المرور( االنتقال )‬

‫‪ – 5‬طريقة استعمال دليل ‪: GEMMA‬‬


‫نتوقع وندرس أساليب العمل و التوقف انطالقا من تصميم اآللة ثم نقوم بدمجها‪ ،‬أثناء التجسيد‪:‬‬
‫‪ ‬بعد تهيئة متمن اإلنتاج العادي )‪ (GPN‬نشرع في استعمال )‪ (GEMMA‬وذلك من أجل اختيار أساليب العمل و التوقف المتوقعة‪.‬‬
‫‪ ‬من أجل آلة ما‪ ،‬يكون من الضروري دراسة حالة كل "مستطيل – حالة"‬
‫‪ ‬إذا اختير "مستطيل – حالة" في عمل اآللة يجب كتابة عليه نوع العمل المطلوب‪.‬‬
‫‪ ‬إذا لم يتم اختيار "مستطيل – حالة" توضع علية عالمة ‪.X‬‬
‫مثـــــــال ‪:‬‬

‫‪ – 6‬البحث عن التطورات بين الحاالت ‪:‬‬

‫حالتان أساسيتان تعرفان في بداية الدراسة وتكونان حاضرتان دائما في أي نظام آلي‪.‬‬
‫‪ -‬الحالة (‪ : )A1‬التوقف في الحالة االبتدائية ( حالة الراحة للنظام )‬
‫‪ -‬الحالة (‪ : )F1‬العمل العادي للنظام‬
‫‪ -‬نبدأ في البحث عن التطورات بين الحاالت انطالقا من الحالتين األساسيتين ‪ F1‬و‪A1‬‬
‫‪ -‬تكون البداية من انطالق تشغيل اآللة أي المرور من الحالة ‪ A1‬إلى الحالة ‪F1‬‬
‫وذلك بطرح سؤال هل اآللة تحتاج مثال إلى مرحلة تحضيرية )الحالة ‪ (F2‬أم ال ؟‬

‫‪37‬‬
‫الوحدة الرابعة ‪ :‬دليل دراسة أنماط العمل والتوقف ‪GEMMA‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪F1‬‬ ‫‪A2‬‬ ‫‪ -‬التوقف هل نختار التوقف االول ‪A1 :‬‬


‫‪F1‬‬ ‫‪A3‬‬ ‫أو التوقف الثاني ‪A4 :‬‬
‫‪ -‬الخلل هل نأخذ الحالة ‪ D3 :‬إنتاج رغم ذلك أو ‪ D1‬توقف استعجالي‬

‫شروط اإلنتقال بين الحاالت ‪:‬‬


‫الحاالت ” مستطيل – حالة “ التي نعتمدها في دليل أساليب العمل والتوقف لآللة تكون مربوطة فيما بينها بواسطة روابط موجهة‬
‫(خطوط واضحة )‪.‬‬

‫تهيئة شروط االنتقال ( المرور ) بين الحاالت تجعل تصميم لوحة القيادة ممكنة لالنتقال من الحالة إلى أخرى بطريقتين ‪:‬‬

‫‪ ) 2‬بشروط وذلك بواسطة أزرار على المقرأ أو عن طريق ملتقطات موجودة على أجهزة النظام‪.‬‬

‫‪ )1‬بدون شروط ‪.‬‬

‫إحصاء مختلف مستطيالت الحاالت‬


‫‪ .1‬مستطيالت الحاالت للعائلة "‪ : " F‬أساليب التشغيل ‪procédures de fonctionnement‬‬
‫هذه الحاالت توافق تشغيل عادي أو تشغيل يتطلب تحضير أولي ‪ ،‬تنظيف و تزيت يومي أو أسبوعي ‪ ،‬مراقبة وضبط ‪ .‬و تحتوي‬
‫منطقة أساليب العمل على ستة حاالت هي‪:‬‬
‫‪ -F1‬االنتاج العادي‪Production normale --‬‬
‫في هذه الحالة تكون اآللة في اإلنتاج العادي ‪ ،‬و هي الحالة التي من أجلها صنعت‪ ،‬و غالبا ما ترفق هذه الحالة بمتمن قاعدي ‪GPN‬‬
‫(وجهة نظر النظام)‪.‬‬
‫‪ -F2‬أعمال تحضيرية‪Marche de préparation - -‬‬
‫هذه الحالة تستعمل عندما تكون اآللة في حاجة إلى تحضير مسبقا قبل االنتاج العادي(تسخين بعض التجهيزات ‪ ،‬المأل االولي‬
‫……) ويكون التحضير آلي أو يدوي ‪.‬‬
‫‪ -F3‬أعمال ختامية ‪Marche de clôture --‬‬
‫هذه الحالة ضرورية لبعض التجهيزات التي تحتاج إلى عمليات التنظيف والتفريغ…… عند نهاية العمل‪.‬‬
‫‪ -F4‬عمل للتحقق من غير ترتيب األوامر‪Marche de vérification dans le désordre --‬‬
‫هذه الحالة تسمح بتجربة بعض األعمال أو بعض الحركات في اآلالت دون التقيد بترتيب األوامر وفق دورة العمل‬
‫‪ -F5‬عمل للتحقق بترتيب ‪Marche de vérification dans l'ordre---‬‬
‫في هذه الحالة يجب التقيد بتسلسل األفعال وفق دورة العمل لل تأكد من أن التجهيز ينتج بصفة عادية أو ال‬
‫‪ -F6‬عمل اختباري‪Marche de test --‬‬
‫هذه الحالة ضرورية آلالت المراقبة والقياس التي تتطلب من حين الي آخر عمليات الضبط و المراقبة‬

‫‪ .2‬مستطيالت الحاالت للعائلة" ‪ :"A‬أساليب التوقف ‪procédures d'arrêt‬‬


‫هذه الحاالت تخص التوقفات العادية للنظام كقرار مأخوذ من طرف المستعمل‪ .‬وتضم هذه العائلة ‪ 7‬حاالت هي ‪:‬‬
‫‪ -A1‬التوقف في الحالة االبتدائية‪Arrêt dans l'état initial --‬‬
‫ممثلة بمستطيلين و تناسب الحالة االبتدائية للمتمن و بالتالي تمثل حالة النظام في حالة راحة‬
‫‪ -A2‬طلب توقف عند نهاية الدورة‪Arrêt demandé en fin de cycle --‬‬
‫عند طلب التوقف ‪ ،‬اآللة تستمر في اإلنتاج حتى نهاية الدورة ‪ ،‬الحالة ‪A2‬هي إذن حالة انتقال باتجاه الحالة ‪A1‬‬
‫‪ - A3‬طلب توقف في حالة معينة ‪Arrêt demandé dans un état dét erminé --‬‬
‫اآللة تستمر في اإلنتاج العادي حتى تتوقف في وضعية غير وضعية نهاية الدورة الحالة ‪A3‬حالة انتقالية إلى الحالة ‪A4‬‬
‫‪ -A4‬الحصول على التوقف ‪Arrêt obtenu --‬‬
‫اآللة تتوقف في وضعية غير وضعية نهاية الدورة‬
‫‪ -A5‬التحضير لإلعادة التشغيل بعد الخلل ‪Préparation pour remise en route après défaillance --‬‬
‫‪38‬‬
‫الوحدة الرابعة ‪ :‬دليل دراسة أنماط العمل والتوقف ‪GEMMA‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬
‫في هذه الحالة نقوم بجميع العمليات (تنظيف ‪,‬إزالة …) الضرورية إلعادة اآللة إلى التشغيل بعد حدوث خلل معين‬
‫‪ - A6‬وضع الجزء العملي في الحالة االبتدائية ‪Mise PO dans état initial --‬‬
‫هي الحالة التي يتم فيها إعادة الجزء العملي يدويا أو آليا إلى وضعيته االبتدائية إلعادة التشغيل من هذه الوضعية‬
‫‪ -A7‬وضع الجزء العملي في حالة معينة ‪Mise PO dans état déterminé --‬‬
‫في هذه الحالة‪ ،‬نجعل الجزء العملي في وضعية حيث عند االنطالق ينطلق في وضعية غير الوضعية االبتدائية‬
‫‪ .3‬مستطيالت الحاالت للعائلة " ‪ : " D‬أساليب الخلل ‪- Les procédures en défaillances‬‬
‫وتخص حاالت توقف النظام ألسباب داخلية مثال عطب في القسم العملي‪ .‬وتضم هذه العائلة ‪ 3‬حاالت موجودة في منطقة أساليب‬
‫الخلل للجزء العملي وهي على التوالي ‪:‬‬
‫‪ - D1‬التوقف االستعجالي ‪Arrêt d’urgence -‬‬
‫في هذه الحالة تتخذ كل االحتياطات الضرورية للتوقفات ( إيقاف المنفذات وقطع التغذية عن الجزء العملي و بالنسبة لجزء التحكم‬
‫يجب ان يخضع الي القوانين المعمول بها )‪ ،‬كما تتخذ كل اإلجراءات الالزمة لتفادي مخلفات هذا الخلل و العودة إلى المرحلة‬
‫االبتدائية‬
‫‪ -D2‬كشف ومعالجة الخلل ‪Diagnostic et/ou traitement de défaillance --‬‬
‫في هذه الحالة ‪ ،‬تفحص اآللة بعد حدوث الخلل ثم يتم إصالح الخلل قصد إعادة التشغيل‬
‫‪ -D3‬إنتاج رغم عن ذ لك‪Production tout de même -‬‬
‫في بعض االحيان يستلزم مواصلة اإلنتاج رغم وجود خلل في اآللة فنحصل على منتوج سيئ أو إنتاج بالقوة أو إنتاج بمساعدة‬
‫العمال‪..‬‬

‫‪39‬‬
‫‪-Les séquenceurs‬‬ ‫الوحدة الخامسة ‪ :‬تجسيد المتمن في التكنولوجيا المربوطة ‪ -‬المعقبات‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ -1‬تجسيد المتمن ‪:‬‬

‫‪ -2‬المعقبات ‪ -‬المنطق المربوط ‪- la logique câblée‬‬

‫‪ 1-2‬التكنولوجيا الكهربائية ‪:‬‬


‫‪ 1-1-2‬مقياس المرحلة ‪(module) :‬‬
‫المكونات والرمز ‪ :‬يحتوي أساسا على مرحل ثنائي اإلستقرار ذو تشابك ميكانيكي‬

‫‪40‬‬
‫‪-Les séquenceurs‬‬ ‫الوحدة الخامسة ‪ :‬تجسيد المتمن في التكنولوجيا المربوطة ‪ -‬المعقبات‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ 2-1-2‬المعقب الكهربائي ‪( séquenceur électrique ) :‬‬

‫‪ 2-2‬التكنولوجيا الهوائية ‪:‬‬


‫‪ 1-2-2‬مقياس المرحلة ‪(module) :‬‬
‫المكونات والرمز ‪ :‬يحتوي أساسا على مرحل ثنائي اإلستقرار ذو تشابك مغناطيسي‬

‫‪ : R‬اإلرجاع العام إلى الصفر‬ ‫‪ : P‬التغذية‬


‫‪ : B‬تخميل‬ ‫‪ : A‬تنشيط‬
‫‪ : S‬مخرج ‪ :‬األفعال‬ ‫‪ : r‬مدخل ‪ :‬القابلية‬
‫‪ 2-2-2‬المعقب الهوائي ‪( séquenceur pneumatique ) :‬‬

‫‪41‬‬
‫الوحدة السادسة ‪ :‬تجسيد المتمن في التكنولوجيا المبرمجة ‪-‬المبرمج اآللـــي ‪API‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ .1‬تعريف المبرمج اآللي ‪:‬‬


‫هو جهاز تكنولوجي يضمن التحكم في األجهــــــــــــــزة و المعدات‪ ،‬و ذلك‬
‫باستقبال إشارات الدخول للنظام المراد التحكم فيه‪ ،‬ثم القيام بمعالجتها ومن ثم‬
‫تنفيذ العمليات الالزمة‪.‬‬

‫‪ .2‬الهيكلة العامة للتحكم المنطقي المبرمج ‪:‬‬


‫يتكون التحكم المنطقي المبرمج من عدة وحدات أساسية هي ‪:‬‬

‫‪ .3‬توصيل المبرمج ‪ API‬بالنظام اآللي ‪:‬‬

‫‪ .4‬ميزات المبرمج اآللي ‪:‬‬

‫‪ ‬إمكانية التحكم في عدد من المنفذات عن طريق ملتقط واحد‬


‫‪ ‬إمكانية التحكم في منفذ واحد عن طريق عدد من الملتقطات‬
‫‪ ‬مخارجه إستطاعية ( توفر استطاعة كافية لتشغيل المنفذات المتصدرة )‪.‬‬
‫‪ ‬إمكانية مراقبة أداء النظام وذلك عبر االتصال المباشر بالمبرمج‬
‫‪ ‬يتحمل الظروف الصناعية الصعبة ( الحرارة ‪ ،‬الغبار ‪.)....،‬‬
‫‪ ‬إمكانية تغيير أداء النظام بدون تغيير التوصيالت وفي زمن قصير‬
‫‪ ‬إمكانية طباعة تقرير مفصل عن المشروع‬
‫‪ ‬برمجته بسيطة و ال تتطلب مختص في اإلعالم اآللي غالبا برمجة بيانية‪.‬‬

‫‪42‬‬
‫الوحدة السادسة ‪ :‬تجسيد المتمن في التكنولوجيا المبرمجة ‪-‬المبرمج اآللـــي ‪API‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ .5‬تطبيقات المبرمج اآللي الصناعي‬

‫تطبيقات المبرمج اآللي الصناعي متعددة منها ‪ :‬معالجة مسبح‪ ،‬تسيير‬


‫محطة مياه ‪ ،‬التحكم في حاجز مرور‪ ،‬التحكم في مراحل إنتاج‪ ،‬موزع‬
‫مشروبات‪ ،‬التحكم في محطة تنظيف‪ ،‬مراقب وصول‪ ،‬أنظمة التبريد‪،‬‬
‫إلخ‪....‬‬

‫‪ .6‬مبدأ االشتغال‬

‫البرنامج الموافق للتشغيل يخزن في الذاكرة ‪ ،‬يقوم المعالج بتنفيذه تبعا للمعلومات المكتسبة من وسائط الدخول ثم‬
‫يحرر األوامر المناسبة التي ترسل إلى المنفذات المتصدرة عبر وسائط الخروج‪.‬‬

‫‪ -‬وسائط الخروج تحتوي أساسا على مضخمات إشارات منطقية‬


‫‪ -‬وسائط الدخول عبارة عن سلسلة اكتساب للمعلومات‬

‫‪ .7‬المكونات األساسية لآللي المبرمج ‪ :‬يتكون اآللي المبرمج من عنصرين أساسيين‬


‫‪ 1.7‬العتــــــاد ‪:‬‬
‫أ‪ -‬وحدة البرمجة‪(console de programmation) :‬‬
‫و هو عبارة عن جهاز كمبيوتر يثبت به نظام التشغيل )‪.(Windows‬‬
‫ب‪ -‬بطاقات اإلدخال ‪(Cartes d’entrée) :‬‬
‫و هي التي تستقبل إشارات الدخل للنظام المراد التحكم فيه‪ ،‬و القادمة من القمطر (ضواغط) أو الملتقطات‪.‬‬
‫ج‪ -‬وحدة المعالجة المركزية ‪(unité de traitement centrale) :‬‬
‫و تحتوي على ما يعرف بـ )‪ (CPU‬و هو عبارة عن العنصر المسؤول عن تنفيذ البرنامج‪ .‬و لـ )‪ (CPU‬مواصفات‬
‫تختلف من نوع إلى آخر‪ ،‬و من شركة مصنعة إلى أخرى‪ .‬و ذلك على حسب سرعة تنفيذ العمليات و سعة المعالج‬
‫للمعلومات‪.‬‬
‫كما تحتوي وحدة المعالجة المركزية على الذاكرة التي فيها يتم تخزين برنامج التحكم‪ .‬علما أنه ال يمكن تخزين‬
‫أكثر من برنامج واحد على وحدة واحدة‪.‬‬
‫د‪ -‬بطاقات اإلخراج ‪(cartes de sorties) :‬‬
‫وهي التي تستقبل العمليات المنفذة في وحدة الـمعالجة المركزية و تحولها إلى جزء التنفيذ على شكل أوامر‬
‫(تعليمات)‪.‬‬
‫مالحظــــة ‪ :‬لوحدات اإلدخال و اإلخراج مواصفات تختلف من شركة منتجة إلى أخرى من حيث ‪:‬‬
‫أ‪ -‬النوع هل هي وحدات رقمية )‪ (Digital‬أو تماثلية )‪.(Analogie‬‬
‫ب‪ -‬من حيث عدد نقاط الدخل هل هي (‪ 8‬نقاط) أو (‪ 22‬نقطة)‪.‬‬
‫ج‪ -‬من حيث الجهد هل هو مستمر أو متناوب‪.‬‬
‫د‪ -‬من حيث قيمة الجهد )‪ (24v‬أو )‪ (120v‬أو )‪(220v‬و هكذا‪...‬‬
‫هـ‪ -‬من حيث قيم التيار التي يمكن أن تتحمله الوحدة في تغذية الحمولة )‪ (10ma‬أو )‪ (1A‬أو )‪ (2A‬أو )‪ (10A‬و‬
‫هكذا‪...‬‬

‫‪43‬‬
‫الوحدة السادسة ‪ :‬تجسيد المتمن في التكنولوجيا المبرمجة ‪-‬المبرمج اآللـــي ‪API‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫‪ 2.7‬البرمجيـــة ‪software :‬‬


‫برنامج التحكم و هو ما يعرف بـ ‪ . software‬و هي مجموعة من األوامر المطلوب تنفيذها بطريقة منطقية‪ ،‬لتنفيذ‬
‫عملية التحكم المراد إجراؤها‪ .‬من بين البرامج ‪:‬‬
‫‪ ‬برنامج ‪ : Millenium3‬الخاص بالجهاز ‪Crouzet M3‬‬
‫‪ ‬برنامج ‪ : PL7‬و هو برنامج منتج من شركة ‪Schneider‬‬
‫‪ ‬برنامج ‪ step7‬من إصدار شركة ‪siemens‬‬
‫‪ ‬وهناك شركات تنتج برامج أخرى‪.‬‬

‫‪ .8‬الوســـــــائط ‪:‬‬
‫‪ 1.8‬ربط الضواغط ببطاقة المداخل ‪:‬‬
‫تستقبل مداخل اآللي المبرمج المعلومة على شكل كمون كهربائي (غالبا ‪.)24v‬‬
‫تسمح الضواغط إذن بتوصيل الدارة الكهربائية بين كمون ‪ 24v‬و المدخل المعني في‬
‫جزء التحكم‪.‬‬
‫في غالب األحيان‪ ،‬يقدم اآللي المبرمج التغذية الكهربائية لمداخله‪.‬‬
‫إذا ما استعملت تغذية خارجية‪ ،‬وجب ربط القطب ‪ 0v‬لهذه التغذية إلى القطب ‪0v‬‬
‫(المشترك) لآللي‬

‫‪ 2.8‬ربط ملتقط ببطاقة المداخل ‪:‬‬


‫هناك عائلتان من الملتقطات الكهربائية‪.‬‬
‫• ملتقطات "‪ 1‬أسالك" (ملتقطات ميكانيكية‪ )... ،‬و هذه توصل بنفس طريقة الضواغط‬
‫الموضحة سابقا‪.‬‬

‫• ملتقطات "‪ 3‬أسالك" (ملتقطات ضوئية‪ ،‬حثية‪ ،‬سعوية‪ )...‬حبث تتطلب تغذية كهربائية‬
‫لتشتغل‪ .‬القطب ‪24v‬يخصص في نفس الوقت لتغذية الجزء اإللكتروني للملتقط و قيادة‬
‫المعلومة نحو جزء التحكم‪.‬‬

‫‪ 3.8‬ربط المخارج ‪:‬‬


‫كل مخرج لآللي المبرمج يتكون من مرحل داخلي حيث التحكم في غلق‬
‫المماسات بواسطة التعليمة العملية المقدمة من طرف البرنامج‪.‬‬
‫غلق المماسات يسمح بتغذية وشيعة المنفذ المتصدر الذي يعمل على غلق دارة‬
‫كهربائية بواسطة تغذية خارجية‪.‬‬
‫جميع مخارج اآللي المبرمج لديها قطب موصل بـ ‪ 24v‬نقول أن هذا القطب‬
‫هو قطب مشترك )‪ (commun‬و هذا ما يعنيه حرف ‪ c‬في الرسم‪.‬‬

‫‪ .4‬تقديم المبرمج اآللي ‪: Crouzet Millenium3‬‬


‫هناك أنواع مختلفة من المبرمج اآللي ‪ CrouzetM3‬منها التشكيلة القابلة لإلمتداد والغير القابلة لإلمتداد والمزودة‬
‫بالشاشة والغير المزودة بالشاشة‪.‬‬
‫‪44‬‬
‫الوحدة السادسة ‪ :‬تجسيد المتمن في التكنولوجيا المبرمجة ‪-‬المبرمج اآللـــي ‪API‬‬ ‫المحور الثاني ‪ :‬وظيفة التحكم‬

‫خصائص المبرمج اآللي ‪ : Crouzet Millenium3‬يتميز بتنوعه حسب ‪:‬‬ ‫‪1.4‬‬


‫عدد المداخل ونوعها‬ ‫‪‬‬
‫عدد المخارج ونوعها‬ ‫‪‬‬
‫منفذ ‪ USB‬من أجل توصيل المبرمج مع الحاسوب‬ ‫‪‬‬
‫التوتر االسمي للتغذية‬ ‫‪‬‬
‫التوتر الحدي لالستعمال الخاص بالتغذية‬ ‫‪‬‬
‫االستطاعة الممتصة العظمى للتغذية‬ ‫‪‬‬
‫التزود بحماية ضد عكس االستقطاب‬ ‫‪‬‬
‫نوع ذاكرة البرنامج‬ ‫‪‬‬
‫نوع ذاكرة البيانات‬ ‫‪‬‬

‫‪ 2.4‬وصف الواجهة األمامية‬


‫‪ ‬شاشة ‪ LCD‬ذات ‪ 4‬أسطر بـ ‪ 28‬رمزا‬
‫‪ ‬مكان التواصل مع الحاسوب‬
‫‪ 2 ‬أزرار تحكم‬

‫‪ .10‬طرق البرمجـــة ‪ : Millenium3‬هناك طريقتان لكتابة البرنامج و تنفيذه و هما ‪:‬‬


‫‪ 1.10‬طريقة المخطط السلمي ‪:‬‬

‫و تسمى أيضا لغة المالمس‪ ،‬و تختصر باألحرف التالية )‪ .(LAD‬هذه‬


‫الطريقة هي أقرب ما تكون للمخطط الكهربائي‪ ،‬و لكن تحول الدارات‬
‫من الشكل العمودي إلى الشكل األفقي‪ .‬و هذه الطريقة هي أكثر الطرق‬
‫استخداما في تمثيل الدارات الكهربائية‪ ،‬و دارات التحكم في اآلالت‬
‫الكهربائية بأنواعها‪.‬‬

‫‪ 2.10‬الرسم التخطيطي الوظيفي ‪:‬‬


‫يسْم ُح نمطُ ‪ FBD‬بالبرمجة البيانية مستندا على استعمال المخططات‬
‫الوظيفية الجاهزة حيث يتيح هذا النوع مجاال كبيرا م ْن الوظائف‬
‫األساسية مثل‪ :‬المؤقت‪ ،‬العداد‪ ،‬الدارات المنطقية‪ ،‬مخططات‬
‫ومراحل م ت م ن‪ ... ،‬الخ‪.‬‬

‫‪45‬‬
‫الفهرست‬

‫الوحدة ‪ : 1‬دراسة الميكرومراقب ‪PIC16F84A‬‬


‫‪ .I‬البنيـة الخارجيـة لـ ‪PIC16F84‬‬
‫‪ .1‬التغذية‬
‫‪ .2‬إشارة الساعة‬
‫‪ .3‬دارة إعـادة الـتهـيئة ‪RESET‬‬

‫‪ .II‬الـتـنظـيــــــم الــــداخـلــــي‬
‫‪ .1‬الذاكرة‬
‫‪ .2‬السجالت الخاصة‬
‫‪ .3‬الـوحــــدة الحـــسابيــــــة و المـنطــقــية‬

‫الوحدة ‪ : 2‬البـــــــرمجــــة بلــــــغـــة التجميع " ‪"Assembleur‬‬


‫‪ .1‬التعريف‬
‫‪ .2‬األدوات الضرورية للبرمجة‬
‫‪ .3‬هيكلة البرنامـج بلغة التجميع‬

‫الدارات المنطقية المبرمجة على شكل‬


‫دارات مندمجة‬
‫‪Les circuits logiques programmés‬‬

‫الميكرومراقب هو جيل جديد ومطور من الميكرومعالج ‪ ،‬وأن جميع‬


‫ملحقات المعالج تم وضعها في شريحة واحدة‪.‬‬
‫يسمح الميكرومراقب بتنفيذ تعليمات وفق برنامج مسجل في الذاكرة‬
‫والذي يمكن تغييره حسب اإلستعمال‬

‫‪46‬‬
‫الوحدة االولى‪ :‬دراسة الميكرومراقب ‪PIC16F84A‬‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬

‫اإلشكالية ‪:‬‬
‫إن اآللي المبرمج الصناعي الذي يحتوي على‬
‫العناصر المقابلة‪ ،‬له حجم اكبر و يحتل حيزا أكبر‬
‫وهذا إذا أردنا استعماله في األجهزة المصغرة‬
‫كاأللعاب واألجهزة الكهرومنزلية‬
‫الهواتف النقالة ‪................‬الخ‬

‫يطرح السؤال ‪ :‬ما هو الحل للحصول على آلي مبرمج مصغر لهذه األجهزة ؟‬

‫تصغير أو تقزيم الميكرومعالج و محيطه ووضعه في علبة واحدة ‪ .‬سميت هذه العلبة بالميكرومراقب‬ ‫الحل‪:‬‬

‫فنتحصل في األخير على أطراف الميكرومراقب التالية ‪:‬‬

‫‪ .1‬تعريف ‪:‬‬
‫الميكرومراقب هو جيل جديد ومتطور من الميكرومعالج ‪ Microprocesseur‬وكل مكوناته موضوعة في‬
‫شريحة واحدة‪ ,‬يسمح بتنفيذ التعليمات وفق برنامج مخزن في الذاكرة يتصرف كـ ‪ API‬لكن بوظائف أكثر تطورا‪.‬‬
‫من بين الشركات األكثر شيوعا في العالم الخاصة في صناعة هذه الدارات المندمجة للميكرومراقب هي ‪:‬‬
‫‪Motorola ; Intel ; Texas Instrument ; Microchip‬‬

‫الـ ‪ µC‬موضوع دراستنا هو ‪ PIC 16F84‬نذكر أن حقوق تسمية ‪ PIC‬تعـود إلى شركة ‪. Microship‬‬
‫وتـضم عائلة ‪ PIC‬ثالث مجـموعات هي ‪:‬‬
‫‪ : Base-Line -‬التي تستعمل تعـليمة بـ ‪ 12‬بيت‪.‬‬
‫‪ Mide-Range -‬التي تستعمل تعـليمة بـ ‪ 14‬بيت والتي ينتمي إليها ‪PIC 16F84‬‬
‫‪ : High-End -‬تستعمل تعـليمة بـ‪ 13bits -‬يتمتـع بسـرعة فائـقـة في تـنفيـذ التـعـلـيمات من رتبة ‪ 1‬مليون‬
‫تعـليمة‪ /‬ثانـية‪.‬‬
‫‪ .2‬شرح التسمية ‪PIC 16F84A :‬‬
‫‪ : PIC‬اختصار للجملة االنجليزية ‪ Peripheral Interface Controller‬والتي معناها جهاز التحكم في األجهزة‬
‫المحيطة‬
‫‪ : 16‬تشير إلى عائلة ‪Mide-Range‬‬
‫‪ : F‬ذاكرة البرنامج من نوع ‪FLASH‬‬
‫‪ : 84‬مرجع الدارة في العائلة‬
‫‪ : A‬التواتر األعظمي ‪20MHz‬‬
‫‪ .3‬تصنيف ‪PIC 16F84A :‬‬

‫هو من عائلة ‪ Mide-Range‬التي تستعمل تعليمة بـ ‪ 24‬خانة )‪ ،(Bit‬وتركيبته من النوع ‪Reduced ( RISC‬‬
‫‪ ) Instruction Set Computer‬والنوع األخر يسمى ‪.( Complex Instruction Set Computer) CISC‬‬
‫أي أن هذا النوع يعتمد على تقليل عدد التعليمات المخزنة حيث تصل في هذا الـ ‪ PIC‬إلى ‪ 33‬تعليمة‪.‬‬

‫ينجز حسب تقنية ‪ HARVARD‬عكس تقنية ‪ : VON-NEUMANN‬و النوع ‪ Harvard‬أحدث من ‪Von-‬‬


‫‪ Neumann‬حيث يعمل على زيادة سرعة الميكرومراقب بفصل ناقل البيانات عن ناقل العناوين‬

‫‪47‬‬
‫الوحدة االولى‪ :‬دراسة الميكرومراقب ‪PIC16F84A‬‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬

‫أوال ـ البنيـة الخارجيـة لـ ‪PIC16F84‬‬

‫يمكن تقـديمه على شكل دارة مندمجة (الشكل‪ )1‬حيث يضم ‪:‬‬

‫‪ /1‬قطبي التغـــذيــة ‪:‬‬


‫تـتــم تـغـذية الـدارة بواسطة تـوتر مستمر بين‬
‫المـربـطـين )‪ (Vss-‬و )‪ (VDD+‬يتراوح بين ‪ 4V‬و‬
‫‪ ، 6V‬وبما أن ‪ PIC16F84‬من تكنولوجية ‪CMOS‬‬
‫فإن استهالكه محـدود قـد ال يتعــدى ‪ 2‬ميلي أمبير‪.‬‬

‫‪ /2‬قطبي قاعدة الزمن (إشـارة الســاعــة)‪:‬‬


‫إن الســاعـة عنصـر أساسي في الميكرومراقب حيث‬
‫تنـظـم تـزامن اشتـغـال المــنطق الـداخلي و تنسق بذلك‬
‫تنـفيـذ التـعـلـيمـات‪ .‬تستعمل هـذه السـاعة المـربطـين ‪ OSC1‬و‪ OSC2‬و يمكن إنجـازهـا بتـراكيب مخـتلفـة من‬
‫بينها مذبذب لتوليد ا شارة الساعة ‪ :‬كوارتز و مكثفتين‪(.‬الشكل ‪.) 2‬‬

‫الشكل‪2‬‬

‫الشكل‪3‬‬ ‫‪ /3‬قطب إعـادة الـتهـيئة ̅̅̅̅̅̅̅̅̅‬


‫𝑹𝑳𝑪𝑴 ‪:‬‬
‫تسمح هذه العـمـلـية بتحميل ‪( PC‬عداد البرنامج) بعنوان أول تعليمة من البرنامج أي‬
‫اإلرجاع إلى الصفر‪.‬‬

‫‪ /4‬أقطاب المرفأين ‪ PortA‬و ‪ : PortB‬مرفأين للتواصل مع محيطـ ‪ .PIC‬يتم إعـداد كل مربط بصفة مدخل‬
‫أو مخرج عن طريق برمجته‪ .‬المنفذ (‪ )PORTA‬يضم ‪ 5‬مرابط ‪RA4,RA3, RA2, RA1 ,RA0‬‬
‫و المنفذ ( ‪ )PORTB‬يضم ‪ 3‬مرابط ‪RB7......RB4‬‬

‫‪48‬‬
‫الوحدة االولى‪ :‬دراسة الميكرومراقب ‪PIC16F84A‬‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬
‫ثـــــانـيـــــــــا ـ الـتـنظـيــــــم الــــداخـلــــي‪:‬‬

‫‪ .1‬الهيكلة القاعدية للميكرومراقب ‪:‬‬


‫أمـا التـدقـيـق في بـنيـته الـداخلـية فيصفه الشكل ‪ 4‬و الذي يمكن أن نمـيـز من خـاللــه العـناصـر التـاليـة ‪:‬‬

‫الترجمة‬ ‫العنصر‬ ‫الترجمة‬ ‫العنصر‬


‫مقسم تواتر التوقيتية‬ ‫‪Pré diviseur‬‬ ‫المرفأ ‪A‬‬ ‫‪PORTA‬‬
‫‪Mémoire‬‬
‫ذاكرة البرنامج‬ ‫المرفأ ‪B‬‬ ‫‪PORTB‬‬
‫‪programme‬‬
‫الذاكرة الحية‬ ‫‪Mémoire RAM‬‬ ‫سجل العمل‬ ‫‪W‬‬

‫الوحدة الحسابية‬
‫ذاكرة قابلة للبرمجة‬ ‫‪Mémoire EEPROM‬‬ ‫‪UAL‬‬
‫والمنطقية‬
‫‪Timer‬‬
‫مؤقت سجل الحراسة‬ ‫‪WDT Timer‬‬ ‫المؤقت‬
‫‪TMRO‬‬

‫‪ .2‬تنظيم ذاكرة الميكرومراقب ‪:‬‬


‫ذاكرة هذا الميكرومراقب قسمت إلى ثالثة أجزاء ‪:‬‬

‫‪ -‬ذاكرة البرنامج‬
‫‪ -‬الذاكرة الحية ‪RAM‬‬
‫‪ -‬ذاكرة المعطيات ‪EEPROM‬‬

‫‪49‬‬
‫الوحدة االولى‪ :‬دراسة الميكرومراقب ‪PIC16F84A‬‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬

‫‪ /1‬ذاكــــــــــرة البـرنـــــــامج ‪:‬‬

‫و هي ذاكـرة ‪ EEPROM‬من فئـة ‪ Flash‬تتكـون مـن ‪1k‬‬


‫كلمة (‪ )4221‬من ‪ 41‬بيت مخصصة لكتابة البرنــامج‪ ،‬أي أن‬
‫كل كلمـة يتـم تـرميزهـا عـلى ‪ 41‬بيت ‪ ،‬يبـدأ حـيز هـذه‬
‫الـذاكـــرة عنـد الـعـنـوان ‪ 0000‬و ينتـهي عــــند ‪3FFh‬‬
‫عشر‪. Hexadécimal‬‬ ‫الحـرف ‪ h‬يشير إلى الترميز السـداسي‬

‫‪ /2‬الذاكـــــــرة ‪( RAM‬ذاكــرة الـمـعـطيات) ‪:‬‬

‫تحت‬ ‫إن تنـفيـذ أي برنامج يتطـلب احتفاظـا مؤقـتا بالمعطيات‪ ،‬يوضع‬


‫تصرفه لهـذا الغـرض حيـز من الذاكــرة يسـمى ‪ RAM‬تتميـز‬
‫بمسحـها عـند قـطـع التـغــذيـة‪ .‬وهي مـقسـمة إلى مـنطقـتين ‪:‬‬
‫الصفحة ‪ BANK 0‬والصفحة ‪BANK 1‬‬
‫تتكون إحـدى المنطقتين من ‪ 21‬سجل ذي ‪ 8‬بيت خاصة‬ ‫أ‪ّ /‬‬
‫ذات‬ ‫بسجالت تســـيير النظـام ‪ 42 ،‬منها في الصفحة ‪BANK 0‬‬
‫العـناويـن من ‪ 22‬إلى ‪ ،0B‬و‪ 42‬في الصفحة ‪BANK 1‬‬
‫معـنونـة من ‪ 82‬إلى ‪.8B‬‬
‫ب‪ /‬و بقية الذاكرة التي تلي مبـــاشرة سجالت التشغـيل فتـمثل‬
‫‪ RAM‬المستـعمل و تضم ‪ 88‬خانة معـنونة من ‪ 0C‬إلى ‪. 1F‬‬
‫أما المساحة باللون الرمادي فهي فارغة و ال يمكن استخدامها‬

‫‪ /3‬الذاكــرة ‪( EEPROM‬ذاكــرة الـمـعـطيات) ‪:‬‬


‫هي ذاكــرة ذات ‪ 81‬كلمة من ‪ 8‬بيت مـعـنونة من ‪ 22‬إلى ‪ 3F‬تخزن بها المعطيات بشكل دائم‪.‬‬
‫باإلضــافة ‪:‬‬
‫إلى ذاكـرة محـدودة ‪ EEPROM‬من ‪ 8‬كلمات من ‪ 2222‬إلى ‪ 2222‬خاصة بالـ ‪C‬ـ‪. µ‬‬
‫العـناويـن من ‪ 2222‬إلى ‪ 2223‬تمثـل حـيزا يخـزن فيه المسـتعـمل شيفـرة خاصة‪.‬‬ ‫‪-‬‬
‫الـعـنوان األهـم هو ‪ 2222‬خاص بسـجل اإلعـداد ‪ Configuration‬للـميكرومراقب‪ ،‬يضم ‪ 41‬بـيتا ال يستـعـمل منها إال ‪. 5‬‬ ‫‪-‬‬
‫البـيتان ‪ 0‬و‪ :1‬يخصـان الهـزازين ‪ FOSC1‬و ‪ FOSC0‬حيث‬
‫تحـدد تـوفيقـتـهما نوع الهـزاز المستـعـمل حسب الجــدول التـالي‪:‬‬
‫البـيت ‪ :2‬ميقاتية المراقبة ‪Watchdog‬‬
‫‪ :1‬يسمح بتشغيل المراقب ‪WDT‬‬
‫‪ :0‬ال يسمح بتشغيل المراقب ‪WDT‬‬
‫البـيت ‪) Power Time Enable( PWRTE :3‬‬
‫مؤقـتة تسمح بتأخير تنفيذ البرنامج ‪ 22‬ميلي ثا بعد تغــذية الدارة‬
‫\ =‪ 2‬تنفــيذ مباشر‪.‬‬ ‫=‪ 4‬تاخير‪.‬‬
‫البـيت ‪) Code Protection( CP :4‬‬
‫=‪ 4‬بدون حماية مشفرة أي قراءة مسموح بها للـ‪µC‬‬
‫=‪ 2‬تـشفيـر‪ ,‬قـراءة مستحيـلة‪.‬‬
‫‪50‬‬
‫الوحدة االولى‪ :‬دراسة الميكرومراقب ‪PIC16F84A‬‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬

‫‪ .3‬أهم السجالت األساسية ‪:‬‬


‫هي موجـودة في الذاكـرة ‪ ، RAM‬قسم منها في الصفحة ‪ 2‬و اآلخر في الصفحة‪ ، 4‬كما أن بعضها يوجد في‬
‫الصفحتين معا لتسهيل الوصول إليه‪ ،‬كما أن دورها األساسي في تسيير الـ‪ µ‬مراقب يحتـم على المبرمج مـعـرفة‬
‫خصـوصية كـل منـها بــدقة‪ .‬سنحـاول تـقـديمـها بشكـل مـبسط فيما يلي ‪:‬‬
‫‪ /1‬سجـل الحـالــة )‪: Registre d’état (status‬‬

‫‪ -‬يسمح بإعطاء حالة النتيجة لعملية ما‬


‫‪ -‬يسمح بالوصول إلى الصفحتين (البنك) مباشرة عن طريق البيت‪"RP0" 5‬‬
‫إذا كان ‪ RP0=4‬تحدّد الصفحة‪4‬‬
‫إذا كان ‪ RP0=2‬تحدّد الصفحة‪2‬‬

‫يـثـبت البيـتـان ‪ 8‬و ‪ RP1( 2‬و‪ ) RP2‬عـنـد ‪ 0‬في ‪.PIC16F84‬‬


‫‪ /2‬سـجـال االتجاه ‪: TRISA-TRISB‬‬
‫يعـيّنـان اتـجاه كل خـط في المـرفأيـن ‪ B‬و ‪ .A‬يبـرمج كل خط كمـدخـل إذا أًرفـق بالقـيمة "‪ ، "4‬و كمخـرج إذا‬
‫أعـطي القـيمة "‪."2‬‬
‫‪ /3‬سجالت مداخل ‪ /‬مخارج ‪: Registres d’entrées/sorties‬‬
‫يم ًّكـنان الـ‪ µC‬من االتصال الفـعـلي مع محيطـه حيث يـضـم الـ ‪ 43 PIC16F84‬خطا من المداخل و المخارج‬
‫موزعـة على مرفأين على الـتوازي ثنـائيي اإلتـجاه ‪:‬‬
‫ـ‪ 5‬على المرفأ ‪ A‬من ‪RA4........ RA0 .‬‬
‫ـ‪ 8‬على الـمرفأ ‪ B‬من ‪RB7........RB0‬‬
‫يـقـوم ‪ TRISA‬و ‪ TRISB‬بـتحـديد اتجـاه كل منهـما كما‬
‫سبق ذكـره‪.‬‬
‫‪ /4‬سجل العمل ‪Registre de travail (Work) : W‬‬

‫بواسطة التعليمات يقوم هذا السجل بنقل المعلومات إلى جميع العناصر‬
‫الداخلية ( ذاكرات‪ ،‬سجالت‪ ،‬مخارج‪)........‬‬

‫‪ /5‬عـداد البــرنــامـج ‪: Compteur de Programme PC‬‬


‫هو سجل واحد بــ ‪ 42‬بيت مهمته تخزين عـنوان التعـليمة الجاري تنـفيذها ‪ .‬وهو ذاكرة دوارة يتزايد بوحدة بعد‬
‫تنفيذ التعليمة ‪ ،‬بينما تؤثر التعليمات ‪ CALL;GOTO;RETURN‬على محتوى العداد‪.‬‬
‫‪ /6‬الســجـل ‪: TMR0‬‬
‫هو سجل مـراقـبة السـاعـة الـداخلـية للميكرو مراقب ‪ ،‬و يمـكنه اإلشتغـال بمفرده أو بالتـنسيق مع سجل ‪.OPTION‬‬
‫‪ /7‬ذاكرة العودة " ‪( "Pile‬الكدسة) ‪:‬‬
‫مجموعة من ‪8‬سجالت بـ ‪ 43‬بيت ‪ ،‬يستعملها عدّاد البرنامج للتخزين المؤقت لعناوين العودة للبرنامج الرئيسي في‬
‫حالة نداء لبرنامج جزئي أو حدوث قطع ‪.‬‬

‫‪51‬‬
‫الوحدة الثانية‪ :‬البرمجة بلغة التجميع‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬
‫البـــــــرمجــــة بلــــــغـــة التجميع ‪Assembleur‬‬

‫‪ .1‬التعـــــريف ‪:‬‬
‫ل غة التجميع هي لغة نصية ذات مستوي أدنى ( قريبة من لغة اآللة ) نستعمل فيها الرموز الحرفية للتعليمات ‪(le‬‬
‫)‪ ، mnémonique‬لتحويلها إلى لغة اآللة نستعمل برمجية خاصة هي ‪ :‬المجمع )‪.(assembleur‬‬

‫إيجابيات لغة التجميع ‪:‬‬


‫‪ ‬سرعة التنفيذ‬
‫‪ ‬االعتماد على أداة شاملة يمكن التزود بها مجانا على موقع ‪ Microchip‬وتتمثل في برمجية ‪.MPLAB‬‬

‫سلبيات لغة التجميع‬


‫‪ ‬ضرورة إلمام المبرمج ببنية الميكرومراقب وبمدلول كل تعليمة من التعليمات‪.‬‬
‫‪ ‬احتمال وقوع الخطأ في البرنامج يكون كبيرا‪.‬‬

‫‪ .2‬األدوات الضرورية للبرمجة ‪:‬‬


‫برمجيا إلى ‪ :‬برمجية مثل ‪ MPLAB‬تستعمل في ‪:‬‬
‫‪ ‬حجز البرنامج ( ‪.) Editeur‬‬
‫‪ ‬تجميع البرنامج ( ‪ ) Assembleur‬و تحديد األخطاء إن وجدت‬
‫‪ ‬محاكاة تنفيذ البرنامج ( ‪.)Simulateur : MultiSim‬‬
‫‪ ‬برمجية مثل ‪ ICPROG‬تستعمل في نقل الملف ‪*.hex‬إلى الذاكرة ‪ FLASH‬للميكرومراقب‬
‫ماديا إلى‪:‬‬
‫‪ ‬جهاز كمبيوتر‬
‫‪ ‬دارة مبرمج ‪Programmateur de Pic‬‬

‫‪ .3‬هيكلة البرنامـج بلغة التجميع ‪ :‬يحتوي برنامج مكتوب بلغة التجميع على ما يلي ‪:‬‬
‫‪ 1.3‬التوجيهات ‪: Les directives‬‬
‫وهي تحكمات خاصة بالمجمع تسهل كتابة البرنامج مثل تعريف الـ ‪ PIC‬المستعمل‪ ،‬إدراج الملف ‪ Include‬الذي‬
‫يحتوي على مختلف تسميات السجالت الخاصة و خاناتها‬
‫‪ 2.3‬العالمات المميزة (الالفتات) ‪: Les étiquettes‬‬
‫وهي أسماء توضع قبل التعليمات‪ ،‬يمكن للمعالج أن ينتقل إليها لتنفيذ التعليمات المدرجة تحتها‪.‬‬
‫‪ 3.3‬التعليقات ‪: les commentaire‬‬
‫وهي توضيحات للبرنامج تكتب بأي لغة ‪ :‬عربية ‪ ،‬فرنسية ‪ .....‬و ال تأخذ بعين االعتبار أثناء عملية التجميع‬
‫وتكون دوما مسبوقة بنقطة فاصلة ( ; )‬

‫‪52‬‬
‫الوحدة الثانية‪ :‬البرمجة بلغة التجميع‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬
‫‪ 4.3‬التعليمات ‪ : Instructions‬و هي التي يتم ترجمتها إلى لغة اآللة و تشحن في ذاكرة البرنامج للــ ‪.PIC :‬‬
‫قبل التعرف على تعليمات الميكرومراقب ‪ PIC 16F84A‬الخمسة والثالثون يجدر بنا أن نأخذ بعض المفاهيم‬

‫‪ -‬جميع التعليمات يتم تنفيذها من طرف المعالج خالل دورة واحدة للميقاتية أي بمقدار ‪ ) 1MHz ( 1µs‬إذا كان‬
‫دور الميقاتية هو‪ ) 4MHz ( 0.25µs :‬إال تعليمات القفز ( ‪ ) GOTO, CALL, RETURN, ….‬فإنها تنفذ‬
‫خالل دورتين للميقاتية أي بمقدار ‪.) 0.5MHz ( 2µs‬‬
‫‪ -‬تكتب كل تعليمة من تعليمات الميكرومراقب ‪ PIC 16F84A‬في ‪ 41‬خانة حيث تنقسم هذه التعليمات إلى ثالث‬
‫مجموعات هي ‪ :‬التعليمات الموجهة للسجالت ‪ ،‬التعليمات الموجهة للخانات و تعليمات الثوابت والمراقبة‬

‫‪53‬‬
‫الوحدة الثانية‪ :‬البرمجة بلغة التجميع‬ ‫المحور الثالث ‪ :‬الدارات المنطقية المبرمجة‬
‫‪ . 4‬كتابة برنامج بلغة التجميع ‪( :‬حصة أعمـــال تطبيقية) أمثلة ‪:‬‬

‫برنامج تأجيل لمدة قصيرة‬ ‫برنامج إضاءة شاهد ضوئي‬


‫‪CALL RETARD‬‬ ‫‪BSF‬‬ ‫إختيار الصفحة ‪03h ,5 ; 2‬‬
‫‪BSF‬‬ ‫‪03h ,5‬‬ ‫‪MOVLW‬‬ ‫إعداد أبيات ‪00h ; PORTA‬‬
‫…………………‬ ‫‪MOVWF‬‬ ‫لإلستعمال كمخارج ; ‪TRISB‬‬
‫‪RETARD‬‬ ‫‪BCF‬‬ ‫إختيار الصفحة ‪03h ,5 ; 0‬‬
‫‪NOP ; No OPeration‬‬ ‫‪MOVLW‬‬ ‫إضاءة الشاهد الضوئي عبر ; ‪02h‬‬
‫‪NOP‬‬ ‫‪MOVWF‬‬ ‫المنفذ ‪06h ; RA1‬‬
‫‪NOP‬‬ ‫‪END‬‬ ‫نهاية البرنامج ;‬
‫‪RETURN‬‬

‫‪54‬‬
‫الفهرست‬
‫المحول األحادي الطور ‪-‬‬
‫ّ‬ ‫تحويل الطاقة ‪-‬‬ ‫‪.I‬‬
‫‪ .1‬طرح اإلشكالية‬
‫‪ .2‬التكوين والرمز‬
‫‪ .3‬مبدأ التشغيل‬
‫‪ .4‬مختلف األختبارات‬
‫‪ .5‬التصميم المكافئ للمحول‬
‫المحول في حالة حمولة‬
‫ّ‬ ‫‪ .6‬تشغيل‬
‫‪ .7‬الحصيلة الطاقوية‬
‫‪ .II‬تحويل الطاقة ‪ -‬التقويم المتحكّم أحادي الطور ‪-‬‬
‫‪ .1‬طرح اإلشكالية‬
‫‪ .2‬الرمز والتشغيل‬
‫‪ .3‬التقويم المتحكّم‬
‫‪ 1.3‬التقويم المتحكم أحادي الطور أحادي النوبة‬
‫‪ 2.3‬التقويم المتحكم أحادي الطور ثنائي النوبة بجسر مختلط‬
‫‪ .III‬التيّار المتناوب ثالثي الطور‬
‫‪ .1‬تمهيد‬
‫‪ .2‬تعريف‬
‫‪ .3‬إنشاء فرينل‬
‫‪ .4‬تغذية حمولة ثالثية الطور متوازنة‬
‫‪ .5‬اإلستطاعة في ثالثي الطور‬
‫‪ 1.5‬طريقة الواطمتر الواحد‬
‫‪ 2.5‬طريقة الواطمترين‬
‫‪ .6‬تحسين عامل اإلستطاعة‬

‫وظيفـــــــة التغذيــــــــة‬
‫‪Fonction Alimentation‬‬

‫يتم نقل وتوزيع الطاقة الكهربائية المنتجة على شكل تيار متناوب ثالثي الطور‪ .‬وحسب‬
‫االستهالك‪ ،‬إما أن يتم توفير التيار المتناوب الثالثي الطور (مصانع‪ )...‬أو التيار‬
‫المتناوب األحادي الطور (منازل‪ .)...‬هذا المنبع األخير يتم تكييفه حسب األجهزة التي‬
‫تتغذى بتوتر متناوب أحادي الطور يختلف عن ~‪ ،220V‬ونستعمل للتكييف "المحول"‪،‬‬
‫وفي بعض االستعماالت نحتاج إلى مقوم يعطي قيمة متوسطة قابلة للتحكم يسمى هذا‬
‫العنصر بـ "المقداح"‪.‬‬

‫‪55‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫طرح المســـألة ‪:‬‬

‫التســـاؤل ‪:‬‬

‫الحل ‪ :‬استعمال المحول أحادي الطور‬

‫‪ -1‬التكوين والر مز ‪:‬‬

‫يتكون المحول أحادي الطور باختصار من ‪ :‬لفين كهربائيين‬


‫معزولين كهربائيا موضوعين على دارة مغناطيسية مغلقة‪.‬‬

‫‪ 1-1‬الرمز ‪:‬‬

‫‪ 2-1‬نسبة التحويل ‪:‬‬


‫‪𝑈2‬‬ ‫‪𝐼1‬‬ ‫‪𝑁2‬‬
‫= 𝑚 مع )‪ (U1 ,U2 , I1 , I2‬قيم فعالة (منتجة)‬ ‫=‬ ‫=‬ ‫نعرف نسبة التحويل لمحول مثالي بالعالقة ‪:‬‬
‫‪𝑈1‬‬ ‫‪𝐼2‬‬ ‫‪𝑁1‬‬
‫يحقق المحول المثالي الشروط التالية ‪:‬‬
‫‪ ‬الضياعات في الحديد معدومة ‪.‬‬
‫‪ ‬مقاومة األولي والثانوي معدومة أيضا‪.‬‬
‫‪ ‬ال يوجد ضياع في التدفق المغناطيسي‪.‬‬
‫‪. cos 𝜑1 = cos 𝜑2‬‬ ‫‪ Q1=Q2 ، S1=S2 ، P1=P2 ‬و‬

‫‪ : m<1‬محول خافض‬ ‫‪/‬‬ ‫‪ : m>1‬محول رافع‬ ‫‪/‬‬ ‫‪ : m=1‬محول عازل‬

‫‪56‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪ -2‬مبدأ التشغيل ‪:‬‬

‫‪ 1-2‬مصدر التوتر الثانوي ‪:‬‬

‫‪ 2-2‬عبارة القوة المحركة التحريضية ‪:‬‬

‫محول حقيقي‬
‫ّ‬ ‫‪ -3‬مختلف اإلختبارات ‪:‬‬

‫‪ 1-3‬اإلختبار في حالة فراغ ‪:‬‬

‫‪ 1-1-3‬التوترات ‪:‬‬

‫‪ : U20‬توتر الثانوي في حالة فراغ‬


‫‪ : U2‬توتر الثانوي في حالة حمولة‬
‫‪U20 > U2‬‬ ‫لدينا ‪:‬‬

‫نعرف نسبة التحويل على فراغ ‪:‬‬

‫‪ 2-1-3‬اإلستطاعات ‪:‬‬

‫حسب التركيب السابق عبارة استطاعة الدخول في حالة الفراغ ‪: P10‬‬

‫‪ : P2‬استطاعة الخروج‪( P2 =0 .‬ألن ‪) i2 =0‬‬


‫‪ : Pfe‬الضياع في الحديد‪.‬‬
‫‪2‬‬
‫‪ 𝑃𝐽 = 𝑅1 . 𝐼10‬وهي مهملة ألن ‪I10 << I1N :‬‬ ‫‪+ 𝑅2 . 𝐼22 = 𝑅1. 𝐼10‬‬
‫‪2‬‬
‫‪ : Pj‬الضياع بمفعول جول‪.‬‬
‫وبالتالي يصبح لدينا ‪:‬‬
‫‪57‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫اإلختبار في حالة فراغ يسمح بحساب الضياعات في الحديد‪.‬‬ ‫𝒆𝒇𝑷 = 𝟎𝟏𝑷‬

‫‪ 2-3‬اإلختبار في حالة قصر ‪:‬‬

‫‪ 1-2-3‬التيارات ‪:‬‬
‫𝑪𝑪𝟏𝑰‬ ‫𝟐𝑵‬
‫= 𝟎𝒎‬ ‫=‬
‫𝑪𝑪𝟐𝑰‬ ‫𝟏𝑵‬

‫‪ 2-2-3‬اإلستطاعات ‪:‬‬

‫حسب التركيب عبارة استطاعة الدخول في حالة القصر ‪𝑃1𝐶𝐶 = 𝑃2 + 𝑃𝑓𝑒 + 𝑃𝐽 : P1CC‬‬

‫‪ : P2‬استطاعة الخروج‪( P2 =0 .‬ألن ‪) u2 =0‬‬


‫‪ : Pfe‬الضياع في الحديد‪ .‬وهي مهملة ألن ‪ U1CC‬ضعيف جدا‬
‫‪ : Pj‬الضياع بمفعول جول‪.‬‬
‫وبالتالي يصبح لدينا ‪:‬‬
‫اإلختبار في حالة قصر يسمح بحساب الضياعات في‬
‫𝑱𝑷 = 𝑪𝑪𝟏𝑷‬
‫النحاس (بمفعول جول) من أجل تيار ثانوي معطى‪.‬‬

‫‪ -4‬التصميم المكافئ للمحول ‪:‬‬


‫أ) التصميم المكافئ للمحول الحقيقي ‪:‬‬

‫‪58‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫ب) التصميم المكافئ للمحول في تقريب كاب ‪:‬‬

‫حسب فرضية ‪: Kapp‬‬


‫‪I1CC‬‬ ‫‪N2‬‬
‫=𝑚‬ ‫=‬ ‫‪ ‬المحول مثالي بالنسبة للتيارات ‪:‬‬
‫‪I2CC‬‬ ‫‪N1‬‬
‫‪ ‬ال يوجد ضياع في الحديد‬

‫في باقي الدّرس نستعمل المحول حسب تقريب ‪Kapp‬‬

‫‪ 1-4‬اإلرجاع إلى الثانوي ‪:‬‬

‫يصبح التصميم المكافئ للمحول كالتالي ‪:‬‬

‫نحسب ‪:‬‬

‫مالحظــة ‪ :‬في حالة اإلرجاع إلى الثانوي نضرب المفاعلة والمقاومة لألولي في ‪. 𝑚02‬‬

‫‪ 2-4‬اإلرجاع إلى اإلبتدائي ‪:‬‬

‫يصبح التصميم المكافئ للمحول كالتالي ‪:‬‬

‫نحسب ‪:‬‬

‫مالحظــة ‪ :‬في حالة اإلرجاع إلى األولي نقسم المفاعلة والمقاومة للثانوي على ‪. 𝑚02‬‬

‫‪59‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪ 3-4‬حساب عناصر التصميم المكافئ المرجعة ‪:‬‬

‫العناصر المرجعة لألولي ‪:‬‬

‫العناصر المرجعة للثانوي ‪:‬‬

‫إنطالقا من العناصر المرجعة لإلبتدائي يمكن حساب العناصر المرجعة للثانوي ‪:‬‬

‫‪ -5‬تشغيل المحول في حالة حمولة ‪:‬‬

‫‪ 1-5‬الهبوط في توتر الثانوي ‪:‬‬

‫عبارة الهبوط في توتر الحمولة في الثانوي هي ‪:‬‬

‫‪ 2-5‬العالقة الحسابية التقريبية لحساب الهبوط ‪:‬‬

‫‪ 3-5‬الرسم البياني لـ » ‪: Diagramme de « Kapp‬‬

‫هذا الرسم عبارة عن تمثيل ‪ Kapp‬للتصميم المكافئ للعناصر‬


‫المرجعة للثانوي ‪:‬‬
‫𝑆𝑋𝑈 ‪𝑈20 = 𝑈2 + 𝑈𝑅𝑆 +‬‬

‫‪𝑈20 = 𝑈2 + 𝑅𝑆 𝐼2 + 𝑗𝑋𝑆 𝐼2‬‬

‫‪60‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫‪Δ𝑈2 = 𝑈20 − 𝑈2‬‬ ‫نعلم أن ‪:‬‬

‫‪Δ𝑈2 = 𝑅𝑆 𝐼2𝑐𝑜𝑠𝜑2 + 𝑋𝑆 𝐼2 𝑠𝑖𝑛𝜑2 .‬‬ ‫نعوض حسب المثلث ‪:‬‬

‫‪Δ𝑈2 = (𝑅𝑆 𝑐𝑜𝑠𝜑2 ± 𝑋𝑆 𝑠𝑖𝑛𝜑2 )𝐼2‬‬ ‫ومنه ‪:‬‬

‫)‪ : (+‬حمولة حثية‬ ‫\‬ ‫)‪ : (−‬حمولة سعوية‬

‫استنتاج ‪ :‬الهبوط في التوتر يتعلق بتيار الحمولة وطبيعتها‪.‬‬

‫حالة خاصة ‪:‬‬

‫إذا كانت الحمولة ‪:‬‬

‫‪Δ𝑈2 = 𝑅𝑆 . 𝐼2‬‬ ‫‪𝜑2 = 0‬‬ ‫مقاومة صرفة ‪:‬‬


‫𝜋‬
‫‪ΔU2 = 𝑋𝑆 . 𝐼2‬‬ ‫= ‪𝜑2‬‬ ‫وشيعة مثالية ‪:‬‬
‫‪2‬‬
‫𝜋‬
‫‪Δ𝑈2 = −𝑋𝑆 . 𝐼2‬‬ ‫‪𝜑2 = −‬‬ ‫مكثفة مثالية ‪:‬‬
‫‪2‬‬

‫‪ΔU2‬‬ ‫‪𝑈20 −𝑈2‬‬ ‫نسبة الهبوط في الجهد ‪:‬‬


‫=‬
‫‪U20‬‬ ‫‪𝑈20‬‬

‫‪ -6‬الحصيلة الطاقوية ‪:‬‬

‫‪ ‬اإلستطاعة الكهربائية ‪:‬‬

‫𝐶𝐶‪𝑃𝐽 = 𝑃𝐽1 + 𝑃𝐽2 = 𝑅1 . 𝐼12 + 𝑅2 . 𝐼22 = 𝑃1‬‬ ‫‪ ‬الضياع الكهربائي ‪:‬‬

‫‪𝑃fe = P10‬‬ ‫‪ ‬الضياع المغناطيسي ‪:‬‬

‫‪61‬‬
‫الوحدة األولى ‪ :‬تحويل الطاقة ‪ -‬المحول األحادي الطور ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫‪𝑃2‬‬ ‫‪𝑃2‬‬
‫ويكون المردود أعظمي إذا كان ‪𝑃𝐽 = 𝑃𝑓𝑒 :‬‬ ‫=𝜂‬ ‫=‬ ‫‪ ‬المردود ‪:‬‬
‫‪𝑃1‬‬ ‫𝑒𝑓𝑃‪𝑃2 +𝑃𝐽 +‬‬

‫مالحظة ‪:‬‬

‫‪P1=P2‬‬ ‫‪Q1=Q2‬‬ ‫‪S1=S2‬‬ ‫في المحول المثالي ‪:‬‬

‫مع ‪ : S‬اإلستطاعة الظاهرية )‪ (VA‬و ‪ : Q‬اإلستطاعة الردية )‪(VAR‬‬

‫‪62‬‬
‫الوحدة الثانية ‪ :‬التقويم المتحكام أحادي الطور ‪ -‬المقداح ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪ -1‬طرح اإلشكالية ‪:‬‬

‫‪ -2‬الرمز والتشغيل ‪:‬‬

‫‪ -‬الميزة ‪𝒊𝑨𝑲 = 𝒇(𝒗𝑨𝑲 ) :‬‬

‫‪ -3‬التقويم المتحكم ‪ :‬المقداح ‪le Thyristor‬‬

‫‪ 1-3‬التقويم المتحكم أحادي الطور أحادي النوبة‬

‫‪63‬‬
‫الوحدة الثانية ‪ :‬التقويم المتحكام أحادي الطور ‪ -‬المقداح ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫‪ -‬المقادير المميزة لتقويم متحكم أحادي النوبة ‪:‬‬


‫‪ .1‬الحمولة ‪:‬‬
‫̂𝑉 = ̂‬
‫‪U‬‬ ‫‪ ‬القيمة األعظمية للتوتر ‪:‬‬
‫̂‬
‫𝑈‬
‫=̅‬
‫𝑈 = 〉‪〈U‬‬ ‫)𝛼 ‪(1 + cos‬‬ ‫‪ ‬القيمة المتوسطة للتوتر ‪:‬‬
‫𝜋‪2‬‬
‫̂‬
‫𝑈‬ ‫𝛼‬ ‫𝛼‪𝑠𝑖𝑛2‬‬
‫‪𝑈eff = √1 − +‬‬ ‫‪ ‬القيمة الفعالة للتوتر ‪:‬‬
‫‪2‬‬ ‫𝜋‬ ‫𝜋‪2‬‬
‫𝑓𝑓𝑒𝑈‬
‫= 𝑓𝑓𝑒𝑅𝐼‬ ‫‪ ‬القيمة الفعالة للتيار ‪:‬‬
‫𝑅‬
‫‪ .2‬المقداح ‪:‬‬
‫̂𝑉 = 𝐾𝐴̂𝑉‬ ‫‪ ‬التوتر العكسي األعظمي بين طرفي المقداح ‪:‬‬

‫‪ 2-3‬التقويم المتحكم أحادي الطور ثنائي النوبة بجسر مختلط ‪:‬‬

‫تسمح دارة التحكم لـ ‪ thyristors‬بضبط زاوية التأخر للقدح ‪. α‬‬

‫‪64‬‬
‫الوحدة الثانية ‪ :‬التقويم المتحكام أحادي الطور ‪ -‬المقداح ‪-‬‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫المخططات الزمنية ‪:‬‬ ‫التشغيل ‪:‬‬

‫الطور (‪ : )1‬النوبة موجبة والمقداح ‪ Th1‬يقدح ويمرر التيار‬


‫عند اللحظة 𝜶 = 𝒕𝛚‬

‫الطور (‪ : )2‬النوبة سالبة والمقداح ‪ Th2‬متوقف‬

‫الطور (‪ : )4‬النوبة موجبة والمقداح ‪ Th1‬متوقف‬ ‫الطور (‪ : )3‬النوبة سالبة والمقداح ‪ Th2‬مثار‬
‫ويمرر التيار عند اللحظة 𝝅 ‪𝛚𝒕 = 𝜶 +‬‬

‫‪ -‬المقادير المميزة لتقويم متحكم ثنائي النوبة ‪:‬‬


‫‪ .1‬الحمولة ‪:‬‬
‫̂𝑉 = ̂‬
‫‪U‬‬ ‫‪ ‬القيمة األعظمية للتوتر ‪:‬‬
‫̂‬
‫𝑈‬
‫)𝛼 ‪̅ = (1 + cos‬‬
‫𝑈 = 〉‪〈U‬‬ ‫‪ ‬القيمة المتوسطة للتوتر ‪:‬‬
‫𝜋‬
‫̂‬
‫𝑈‬ ‫𝛼‬ ‫𝛼‪𝑠𝑖𝑛2‬‬
‫= ‪𝑈eff‬‬ ‫‪√1 − +‬‬ ‫‪ ‬القيمة الفعالة للتوتر ‪:‬‬
‫‪√2‬‬ ‫𝜋‬ ‫𝜋‪2‬‬
‫𝑓𝑓𝑒𝑈‬
‫= 𝑓𝑓𝑒𝑅𝐼‬ ‫‪ ‬القيمة الفعالة للتيار ‪:‬‬
‫𝑅‬
‫‪ .2‬المقداح ‪:‬‬
‫̂𝑉 = 𝐾𝐴̂𝑉‬ ‫التوتر العكسي األعظمي بين طرفي المقداح ‪:‬‬

‫‪65‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪ 1‬تمهيد ‪:‬‬

‫الشكل المقابل يمثل مأخذ للتيار الثالثي الطور‪.‬‬

‫باستعمال جهاز متعدد القياسات تم قياس التوتر بين كل طور والمحايد ثم قياس‬
‫التوتر بين كل طورين‪.‬‬

‫النتائج مبينة في الجدول التالي ‪:‬‬

‫خالصـــــة ‪:‬‬

‫‪ 2‬تعريف ‪:‬‬

‫عندما تفوق االستطاعة المنتجة ‪ 10Kw‬تغذى المنشآت‬


‫بالتيار الثالثي الطور ‪ ،‬وإذا نظرنا على شبكة توزيع‬
‫الطاقة نرى أنها تحتوي على أربعة أسالك ثالثة منها‬
‫أطوار والرابع يسمى المحايد‪.‬‬

‫باستعمال راسم اإلهتزاز المهبطي نشاهد التوتر المتواجد بين كل‬


‫طور ومحايد ‪ ،‬فنحصل على اإلشارات التالية ‪:‬‬
‫احسب السعة‪ ،‬الدور‪ ،‬التواتر وفرق الصفحة لكل إ شارة ‪:‬‬

‫‪ ‬حساب فرق الطور 𝛗 ‪ :‬يستنتج من الفارق الزمني لإلشارتين )𝒕( 𝟏𝒗 و )𝒕( 𝟐𝒗 عندما تكونان متساويتان‬
‫وفي نفس اإلتجاه‪ .‬نأخذ 𝟎 = )𝒕( 𝟐𝒗 = )𝒕( 𝟏𝒗 أي ) 𝟐𝝋 ‪𝑽𝟏𝒎𝒂𝒙 𝐬𝐢𝐧(𝝎𝒕𝟏 − 𝝋𝟏 ) = 𝑽𝟐𝒎𝒂𝒙 𝐬𝐢𝐧(𝝎𝒕𝟐 −‬‬

‫ومنه ‪:‬‬
‫𝟐𝝋 ‪𝝎𝒕𝟏 − 𝝋𝟏 = 𝝎𝒕𝟐 −‬‬
‫أي ‪𝝋 = 𝝋𝟐 − 𝝋𝟏 = 𝝎(𝒕𝟐 − 𝒕𝟏 ) = 𝟐𝝅𝒇(𝒕𝟐 − 𝒕𝟏 ) :‬‬
‫𝝅𝟐‬
‫=𝝋‬ ‫ت‪.‬ع ‪𝝋 = 𝟐𝝅. 𝟓𝟎. 𝟔, 𝟔. 𝟏𝟎−𝟑 = 𝟐𝝅. 𝟎, 𝟑𝟑 :‬‬
‫𝟑‬

‫‪66‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫التمثيل الرياضي إلشارة جيبية ثالثية الطور متوازنة ‪:‬‬

‫‪ 3‬إنشاء فرينل ‪:‬‬

‫أ‪ -‬العالقة الموجودة بين التوترات البسيطة ‪:‬‬

‫‪67‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫ب‪ -‬العالقات الموجودة بين التوترات المركبة ‪:‬‬

‫نشاط ‪ :‬احسب المجموع الجبري للتوترات الثالثة‬

‫ج‪ -‬العالقات الموجودة بين التوتر البسيط والتوتر المركب ‪:‬‬

‫‪π‬‬
‫وطويلة القيمة المركبة هي حاصل جداء القيمة‬ ‫الخالصة ‪ :‬التوترات المركبة متقدمة على التوترات البسيطة بـ‬
‫‪6‬‬
‫البسيطة و ‪𝑼 = 𝑽√𝟑 : √3‬‬

‫‪ 4‬تغذية حمولة ثالثية الطور متوازنة ‪:‬‬


‫‪ 1-4‬التركيب النجمي ‪:‬‬

‫‪68‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫خالصة ‪ :‬في التركيب النجمي المتوازن‬

‫‪ 2-4‬التركيب المثلثي ‪:‬‬

‫المتوازن‬ ‫خالصة ‪ :‬في التركيب المثلثي‬

‫‪ 3-4‬عبارة العالقة ‪ :‬تيار الفرع‪ -‬تيار الخط‬

‫نبرهن أيضاعلى أن العالقة بين تيار الخط وتيار الحمولة هي ‪𝑰 = 𝑱√𝟑 :‬‬

‫‪69‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪ - 5‬اإلستطاعة في الثالثي الطور ‪:‬‬
‫طرح المسألــــة ‪:‬‬
‫بطلب من زبائنها تقوم ” شركة توزيع الكهرباء ‪ ” SDA‬بتزويدهم بالشبكة الكهربائية الثالثية األطوار‪ ،‬وبعد دراسة‬
‫للمقادير الكهربائية للتجهيزات تلجأ إلى رفع عامل استطاعة المنشأة لخفض من شدة التيار المار في الخط‪.‬‬
‫التساؤل ‪ :‬ماهي ال دراسة للمقادير الكهربائية للتجهيزات التي قامت بها ‪ SDA‬؟‬
‫‪ 1-5‬تذكير ‪ :‬نظرية بوشرو‬
‫اإلستطاعـة الفعلية و الرديـة الممتصة من طرف مجموعة ثنائيات قطب تساوي على الترتيب مجموع‬
‫اإلستطاعـات الفعلية و الرديــــــــة الممتصة من طرف كل عنصر من المجموعة‪.‬‬
‫‪Q  Q1  Q2  Q3‬‬ ‫‪ P  P1  P2  P3‬و‬ ‫حسب النظريــــة ‪:‬‬
‫‪Q1  Q2  Q3‬‬ ‫و‬ ‫‪P1  P2  P3‬‬ ‫من أجل أخذة متوازنة )‪:(récepteur équilibré‬‬
‫‪Q  3Q1‬‬ ‫‪ P  3P1‬و‬ ‫و منه ‪:‬‬

‫‪S  P2  Q2‬‬ ‫أمـــّا االستطاعة الظاهرية ‪:‬‬

‫‪ 2-5‬حساب مختلف اإلستطاعات ‪Calcul de puissances:‬‬


‫‪ 1-2-5‬اإلقران النجمي ‪(montage étoile) :‬‬
‫‪U‬‬ ‫‪ P  3.P1  3VI cos‬و‬
‫‪V‬‬
‫‪3‬‬
‫)‪(Puissance active : Watts‬‬ ‫بالتعويض نحصل ‪ :‬اإلستطاعة الفعلية 𝝋 𝐬𝐨𝐜 𝑰𝑼𝟑√ = 𝑷‬

‫)‪(Puissance réactive : VAR‬‬ ‫𝝋 𝐧𝐢𝐬 𝑰𝑼𝟑√ = 𝑸‬ ‫بنفس الطريقة نجـــد ‪ :‬اإلستطاعة الردية‬

‫)‪(Puissance apparente : VA‬‬ ‫𝑰𝑼𝟑√ = 𝑺‬ ‫و اإلستطاعة الظاهرية‬


‫𝑷‬
‫= 𝝋 𝐬𝐨𝐜‬ ‫عامل اإلستطاعة ‪:‬‬
‫𝑺‬

‫‪ 2-2-5‬اإلقران المثلثي ‪(montage triangle) :‬‬


‫‪I‬‬
‫‪J‬‬ ‫و‬ ‫‪P  3.P1  3UJ cos‬‬
‫‪3‬‬

‫بالتعويض نحصل ‪ :‬اإلستطاعة الفعلية 𝝋 𝐬𝐨𝐜 𝑰𝑼𝟑√ = 𝑷 )‪(Watts‬‬

‫بنفس الطريقة نجـــد ‪ :‬اإلستطاعة الردية 𝝋 𝐧𝐢𝐬 𝑰𝑼𝟑√ = 𝑸 )‪(VAR‬‬

‫و اإلستطاعة الظاهرية 𝑰𝑼𝟑√ = 𝑺 )‪(VA‬‬


‫𝑷‬
‫= 𝝋 𝐬𝐨𝐜‬ ‫عامل اإلستطاعة ‪:‬‬
‫𝑺‬

‫‪ 3-5‬الضياعات بمفعول جول ‪ :‬نعتبر الجزء المقاومي لآلخذة‬

‫‪70‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪ 1-3-5‬اإلقران النجمي ‪:‬‬
‫الضياع في عنصر من اآلخذة ‪𝑃𝐽1 = 𝑅𝐼 2 :‬‬

‫المقاومة ‪ r‬المقاسة بين طوري اآلخذة ‪𝑟 = 2𝑅 :‬‬

‫‪𝑃 = 3𝑃𝐽1‬‬ ‫الضياع في اآلخـــــــــــــــــــذة ‪:‬‬


‫𝟑‬
‫𝟐𝑰𝒓 = 𝑷‬ ‫أو‬ ‫ومنه ‪𝑷 = 𝟑𝑹𝑰𝟐 :‬‬
‫𝟐‬

‫‪ 2-3-5‬اإلقران المثلثي ‪:‬‬

‫اآلخذة ‪𝑃𝐽1 = 𝑅𝐽2 :‬‬ ‫الضياع في عنصر من‬


‫𝑅‪2𝑅.‬‬ ‫‪2‬‬
‫=𝑟‬ ‫𝑅 =‬ ‫طوري اآلخذة ‪:‬‬ ‫المقاومة ‪ r‬المقاسة بين‬
‫𝑅‪2𝑅+‬‬ ‫‪3‬‬

‫اآلخـــــــــــــــــــذة ‪𝑃 = 3𝑃𝐽1 :‬‬ ‫الضياع في‬


‫𝟑‬
‫𝟐𝑰𝒓 = 𝑷‬ ‫أو‬ ‫𝟐𝑰𝑹 = 𝑷‬ ‫ومنه ‪:‬‬
‫𝟐‬
‫𝟑‬
‫استنتاج ‪ :‬الضياعات بمفعول جول 𝟐𝑰𝒓 = 𝑷 مهما كان نوع اإلقران مع ‪ r‬المقاومة المقاسة بين طوري اآلخذة‬
‫𝟐‬

‫‪ 4-5‬قياس اإلستطاعة ‪:‬‬


‫‪ 1-4-5‬طريقة الواطمتر الواحد ‪:‬‬

‫‪PW =VI cosφ‬‬ ‫الواطمتر مربوط بحيث يقيس ‪:‬‬

‫أي ‪:‬‬

‫العالقة بين القيمة المقاسة و اإلستطاعة الممتصة ‪P = 3PW :‬‬

‫مالحظات ‪ - :‬الطريقة تتطلب وجود حيادي‬

‫‪ -‬القياس ال يتطلب معرفة نوع اإلقران‬

‫‪ 2-4-5‬طريقة الواطمترين ‪:‬‬

‫مالحظات ‪ - :‬الطريقة ال تتطلب وجود حيــــــــادي‬

‫‪ -‬القياس ال يتطلب معرفة نوع اإلقران‬

‫‪71‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬
‫‪‬‬ ‫‪‬‬
‫‪ ‬‬
‫‪PA  UI cos I1 ,U 31  UI cos1‬‬ ‫الواطمتر ‪ A‬يقيــس ‪:‬‬
‫‪‬‬ ‫‪‬‬
‫‪ ‬‬
‫‪PB  UI cos I 2 ,U 23  UI cos 2‬‬ ‫الواطمتر ‪ B‬يقيــــس ‪:‬‬
‫‪1    30  1  30  ‬‬ ‫‪  2    30‬و‬ ‫من تمثيل فرينل نستنتج ‪:‬‬
‫‪PA  PB  UI cos1  cos 2 ‬‬ ‫أ‪ -‬اإلستطاعة الفعليــة ‪:‬‬
‫‪PA  PB  UI cos(30   )  cos(30   )‬‬ ‫نعوض ‪:‬‬
‫‪cos(a  b)  cos(a  b)  2 cos a. cos b‬‬ ‫نعلــــم أن ‪:‬‬
‫‪PA  PB  UI (2 cos30. cos )  3.UI cos‬‬ ‫و منــــــه ‪:‬‬

‫‪P  PA  PB‬‬ ‫و أخيــــــرا ‪:‬‬


‫‪PA  PB  UI cos1  cos 2 ‬‬ ‫ب‪ -‬اإلستطاعة الرديـــة ‪:‬‬
‫‪PA  PB  UI cos(30   )  cos(30   )‬‬ ‫نعوض ‪:‬‬
‫‪cos(a  b)  cos(a  b)  2 sin a. sin b‬‬ ‫نعلــــم أن ‪:‬‬
‫‪1‬‬
‫‪PA  PB  2UI sin 30. sin   2. UI sin   UI sin ‬‬ ‫و منــــــه ‪:‬‬
‫‪2‬‬
‫‪Q  3 PA  PB ‬‬ ‫و أخيــــــرا ‪:‬‬

‫مالحظة ‪ :‬يمكن أن يعطي أحد الواطمترين قياسا سالبا وفي هذه الحالة يجب أن يكون القياس السالب أصغر من‬
‫القياس الموجب‪.‬‬
‫‪P‬‬ ‫‪ S  P2  Q2‬و‬ ‫ج‪ -‬اإلستطاعة الظاهرية وعامل اإلستطاعة ‪:‬‬
‫‪cos ‬‬
‫‪S‬‬
‫‪ 5-5‬الرفع من عامل اإلستطاعة ‪:‬‬

‫تتميز كل منشأة كهربائية بتوترها الفعال ‪ U‬واإلستطاعة الفعالة ‪ P‬الموفرة ‪ .‬عبارة شدة التيار الممتص من طرف‬
‫𝑃‬ ‫𝑘‬
‫=𝐼‬ ‫=‬ ‫المنشأة تتغذى بشبكة ثالثية الطور من أجل استطاعة معطاة ‪:‬‬
‫𝜑𝑠𝑜𝑐 𝑈 ‪√3‬‬ ‫𝜑𝑠𝑜𝑐‬

‫حسب العالقة السابقة تكون شدة التيار كبيرة كلما كان عامل إستطاعة الحمولة ضعيف ولكن في هذه الحالة‬
‫يصاحب شدة التيار الكبيرة ضياع مهم بمفعول جول في األسالك ولتفادي هذه الظاهرة نلجأ إلى إضافة مكثفات في‬
‫المنشأة لرفع عامل اإلستطاعة ومن ثم خفض شدة التيار‬

‫نضيف ‪ 3‬مكثفات مقرونة مثلثيا إلى الشبكة‬

‫‪ -‬التوتر بين طرفي كل مكثفة هو ‪ :‬التوتر المركب ‪U‬‬


‫‪QC1  CU 2‬‬ ‫‪ -‬اإلستطاعة اإلرتكاسية لمكثفة واحدة ‪:‬‬
‫‪QC  3QC1  3CU 2‬‬ ‫‪ -‬اإلستطاعة اإلرتكاسية للمكثفات الثالثة ‪:‬‬

‫‪72‬‬
‫الوحدة الثالثة ‪ :‬التيار المتناوب ثالثي الطور‬ ‫المحور الرابع ‪ :‬وظيفــة التغذية‬

‫عامل اإلستطاعة‬ ‫اإلستطاعةاإلرتكاسية‬ ‫اإلستطاعة الفعلية‬

‫‪cos‬‬ ‫‪Q  P.tg‬‬ ‫‪P‬‬ ‫المنشأة‬


‫‪0‬‬ ‫‪QC  3CU 2‬‬ ‫‪0‬‬ ‫المكثفات‬

‫بحث عن ‪cos 𝜑′ :‬‬ ‫‪Q  Q  QC  P.tg ‬‬ ‫‪P‬‬ ‫المجموع‬

‫‪QC  3CU 2  Q  Q‬‬ ‫‪ -‬حساب سعة المكثفات الالزمة ‪:‬‬


‫‪ 3CU 2  P.tg   P.tg‬‬
‫) ‪𝑷(𝐭𝐚𝐧 𝝋−𝐭𝐚𝐧 𝝋′‬‬
‫= ∆𝑪‬ ‫و منه ‪:‬‬
‫𝟐𝑼𝝎𝟑‬

‫عند إقران المكثفات نجميا يصبح لدينا ‪:‬‬

‫) ‪𝑷(𝐭𝐚𝐧 𝝋−𝐭𝐚𝐧 𝝋′‬‬


‫= 𝒀𝑪‬ ‫و منه ‪:‬‬
‫𝟐𝑼𝝎‬

‫أي ‪ C  3C :‬و بالتالي تزداد سعة المكثفة بـ ‪ 3‬مرات لذا اإلقران النجمي للمكثفات غير مستعمل‪.‬‬

‫‪73‬‬
‫الفهرست‬
‫المحرك الالتزامني ثالثي الطور‬ ‫‪.I‬‬
‫‪ .1‬مبدأ التشغيل‬
‫‪ .2‬المكونات‬
‫‪ .3‬السرعة‬
‫‪ .4‬إقران المحرك الالتزامني‬
‫‪ .5‬لوحة المواصفات ‪ :‬قراءة لوحة بيانات المحرك‬
‫‪ .6‬اإلنزالق‬
‫‪ .7‬االستطاعات والعزوم‬
‫‪ .8‬إقالع المحركات‬
‫‪ .4‬الكبح الكهرومغناطيسي‬
‫‪ .II‬المحرك خطوة خطوة‬
‫‪ .1‬تعريف‬
‫‪ .2‬محرك ذو مغناطيس دائم‬
‫‪ .3‬التحكّم في المحركات خ\خ باستعمال الدارة المندمجة ‪SAA1027‬‬
‫‪ .4‬محرك ذو ممانعة متغيّرة‬
‫‪ .5‬المحركات الهجينة‬
‫‪ .6‬خصائص المحركات خطوة خطوة‬

‫وظيــــــفة اإلستطـــــــاعة‬
‫‪Fonction de puissance‬‬

‫يأخذ جزء التحكم القرارات المناسبة ويرسلها على شكل أوامر للتنفيذ إلى جزء‬
‫االستطاعة والذي يتمثل في المنفذات‪ .‬المنفذات تقوم بتحويل طاقة إلى طاقة أخرى‬
‫للحصول على عمل فيزيائي‪ ،‬وهي أنواع منها المنفذات الكهربائية والتي تتمثل في‬
‫المحركات الكهربائية التي تسمح بالحصول على حركة دورانية وتوجد أنواع كثيرة‬
‫منها‪ .‬وسيتم دراسة نوعين هما ‪ :‬المحرك الالتزامني ثالثي الطور والمحرك خطوة‬
‫خطوة‪.‬‬

‫‪74‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬

‫تتميز المحركات الالتزامنية بصالبة وبساطة التكوين وهي األكثر استعماال في الصناعة‪.‬‬
‫‪ .1‬مبدأ التشغيل ‪:‬‬
‫يعتمد مبدأ التشغيل على إنتاج حقل مغناطيسي دوار وهذا بواسطة ثالث وشائع متشابهة مثبتة في الساكن‬
‫وموضوعة على ‪ °210‬ومغذاة بتوتر ثالثي الطور‪.‬‬
‫المكونات ‪:‬‬
‫ّ‬ ‫‪.2‬‬

‫يتكون المحرك الالتزامني من جزئين هما ‪:‬‬

‫‪ -‬جزء ثابت ويسمى الساكن (‪(Stator‬‬

‫‪ -‬جزء متحرك ويسمى الدوار ( ‪(Rotor‬‬

‫‪ 1-2‬العضو الثابت ‪:Stator‬‬


‫يتكون من رقائق دائرية الشكل ومسننة داخليا ومعزولة فيما بينها من اجل التخفيض من تيارات فوكو ‪.‬‬
‫عند تجميعها وتثبيتها داخل هيكل من الفوالذ نتحصل على مجاري ‪ ،‬توضع في هذه االخيرة وشيعات الساكن حيث‬
‫توصل أطرافها بلوحة األقطاب من اجل تغذية المحرك وإقرانه نجميا أو مثلثيا ‪.‬‬

‫‪ 2-2‬الجزء الدوار‬
‫يتكون من رقائق دائرية تحتوي على سطحها الخارجي أسنان ‪ ،‬عند تجميعها تكون معزولة فيما بينها وتشكل جسما‬
‫أسطوانيا يحمل على سطحه الخارجي مجاري ‪.‬‬
‫في المحركات ذات دوار ملفوف ‪ :‬توضع في هذه المجاري‬
‫ملفات مقصورة‬
‫في المحركات ذات قفص السنجاب ‪:‬‬
‫‪ -‬إذا كانت االستطاعة معتبرة توضع في هذه المجاري قضبان‬
‫من النحاس أو األلومنيوم تجمع أطرافها بواسطة حلقتين‬
‫‪ -‬أما إذا كانت المحركات ذات االستطاعة الضعيفة يقولب‬
‫القفص مباشرة بصب األلومنيوم المذاب داخل المجاري ‪.‬‬

‫‪75‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫الدوار ذو القفص السنجابي ‪Rotor à cage d’écureuil‬‬
‫أ‪ .‬العضو ّ‬

‫الدوار الملفوف ‪: Rotor bobiné‬‬


‫ب‪ .‬العضو ّ‬

‫‪ .3‬السرعة ‪:‬‬
‫دوارا يدور بالسرعة ‪:‬‬
‫‪ ‬عند تغذية وشائع الساكن بالتيار المتناوب ثالثي الطور تنتج مجاال مغناطيسيا ّ‬

‫و ‪ : f‬التردد ) ‪(Hz‬‬ ‫‪ : ns‬سرعة الدوران التزامنية )‪(tr/s‬‬ ‫مع‬ ‫‪ns = f / p‬‬

‫مع ‪(tr/mn) : ns‬‬ ‫‪ns = 60 f / p‬‬ ‫أو‬

‫‪ ‬عدد أزواج أقطاب المحرك )‪p :(paires‬‬

‫‪ ‬عدد أقطاب المحرك )‪2p :(pôles‬‬

‫‪Ωs = 2π ns = 2π f/P = ωs / P‬‬ ‫)‪(rad/s‬‬ ‫‪ ‬السرعة الزاوية ‪ Ωs‬للمجال الدوار ‪:‬‬

‫‪ ‬يولد المجال الدوار تيارات متحرضة عبر قضبان الدوار وبما أن هذه القضبان موجودة في المجال فإنها‬
‫تخضع لقوى كهرومغناطيسية وبالتالي إلى مزدوجة تؤدي إلى تدوير الجزء الدوار لكنه بسرعة اقل من‬
‫سرعة المجال الدوار ‪.‬‬

‫‪ .4‬االنزالق ‪Glissement :‬‬


‫‪n < ns‬‬ ‫‪ ‬يدور الدوار بسرعة ‪ n‬أقل من سرعة المجال الدوار ( سرعة التزامن )‪: ns ) (Tr/s‬‬

‫مع ‪ Ω‬السرعة الزاوية للدوار )‪(rad/s‬‬ ‫‪Ω < Ωs‬‬ ‫أي‬

‫‪ng = ns - n‬‬ ‫الفارق بين هاتين السرعتين يسمى سرعة االنزالق ‪ ng‬إذن ‪:‬‬

‫‪ ‬االنزالق هو حاصل قسمة سرعة االنزالق على السرعة التزامنية نرمز له بـــ ‪: g‬‬

‫مع ‪ g‬بدون وحدة‬ ‫‪g = ng /ns = (ns - n)/ns = (Ωs - Ω) / Ωs‬‬

‫‪ ‬نستنتج من العالقة السابقة ‪n= ns(1-g) :‬‬

‫‪76‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬

‫‪ .5‬اتجاه الدوران ‪:‬‬

‫يتغير اتجاه الدوران في المحرك الالتزامني‬


‫بالتبديل بين طورين ‪.‬‬

‫‪ .6‬إقران المحرك الالتزامني ‪:‬‬


‫اإلقران هو عملية ربط الوشيعات فيما بينها ‪.‬‬
‫‪ ‬بما أن الساكن يحتوي على ثالث وشيعات إذن بإمكاننا القيام بنوعين من اإلقران ‪ :‬نجمي أو مثلثي ‪.‬‬

‫‪ ‬إذا كان التوتر المركب للشبكة يساوي التوتر األكبر للمحرك ‪ :‬يكون اإلقران نجميا ‪.‬‬

‫‪ ‬إذا كان التوتر المركب للشبكة يساوي التوتر األصغر للمحرك ‪ :‬يكون اإلقران مثلثيا ‪.‬‬

‫مالحظــــة ‪ :‬نعني بـ ‪:‬‬


‫‪ ‬التوتر األكبر للمحرك هو التوتر بين طرفي اللفتين‬
‫‪ ‬التوتر األصغر للمحرك هو التوتر بين طرفي اللفة الواحدة‬

‫مثال ‪ :‬كيف يكون اقران المحركات التالية على شبكة‬

‫توترها ‪220/380 V :‬‬

‫‪ -‬المحرك‪( . . . . . . . . 127/220 V :2‬ال يقرن) (يتلف)‬

‫‪ -‬المحرك ‪( . . . . . . . . 220/ 380V :1‬إقران نجمي)‬

‫‪ -‬المحرك ‪( . . . . . . . 380/ 660V :3‬مثلثي)‬

‫‪ 1-6‬إقران مثلّثي ‪:‬‬


‫في هذه التوصيلة يعمل المحرك على التوتر األقل من جهود تشغيل المحرك‪ ،‬ويكون التيار المار في خطوط‬
‫‪Iph = IL / √3 = J‬‬ ‫المصدر أعلى ‪:‬‬
‫و ‪Uph = VL = U‬‬

‫حيث ‪ Iph :‬أو ‪ J‬التيار المار في الملف الواحد و‪ Uph‬التوتر بين طرفيه ‪.‬‬
‫و ‪ IL‬التيار المار في خط التغذية‪.‬‬
‫و ‪ U‬التوتر المركــب‬

‫‪77‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫‪ 2-6‬إقران نجمي ‪:‬‬
‫في هذه التوصيلة يعمل المحرك على التوتر األعلى من جهود تشغيل المحرك‪ ،‬ويكون التيار المسحوب في خطوط‬
‫‪Iph = IL‬‬ ‫المصدر أقل ‪:‬‬

‫و ‪Uph = VL / √3 = U/ √3‬‬

‫حيث ‪ Iph :‬التيار المار في الملف الواحد‪.‬‬

‫مالحظة ‪ :‬في الحقيقة اإلقران يتم في لوحة المرابط للمحرك ‪.‬‬

‫‪ .7‬لوحة المواصفات للمحرك ‪ :‬قراءة لوحة بيانات اآللة‬

‫‪ ‬نموذج المحرك ‪. Model-Type‬‬

‫‪ ‬التردد ‪Hz‬‬

‫‪ ‬اإلستطاعة اإلسمية (المفيدة) ‪W , Kw , Hp ,Ch , Cv‬‬

‫‪ ‬التوتر اإلسمي )‪(V‬حسب توصيل المحرك نجمة أو دالتا‬

‫‪ ‬شدة التيار اإلسمي )‪ (A‬حسب توصيل المحرك نجمة أو‬


‫دالتا‬

‫‪ ‬نوع العازل وتصنيفه‬

‫‪ ‬سرعة الدوران ‪ R.P.M‬أو ‪ Tr/mn‬أو ‪N‬‬

‫‪ ‬عامل اإلستطاعة للمحرك ‪cosΦ‬‬

‫‪ ‬معلومات إضافية مثل تاريخ الصنع ‪ ،‬نوع الحماية ‪،‬‬


‫التبريد والتهوية ‪ ،‬وزن المحرك ‪ ،‬عدد دقائق أوساعات‬
‫العمل أو التشغيل‪.‬‬

‫‪ .8‬االستطاعات و العزوم ‪:‬‬


‫‪ ‬االستطاعة الممتصة ‪ :‬هي االستطاعة الداخلة إلى العضو الثابت تعتمد قيمتها على الحمولة للمحرك والمتمثل‬
‫بالتيار ومعامل االستطاعة ‪:‬‬
‫‪Pa= PIN =√3 UI cosΦ‬‬
‫‪ ‬الضياع بفعل جول في الساكن ‪ :‬هي االستطاعة الضائعة على شكل حرارة وتسمى أيضا بالضياعات النحاسية‬
‫و تحسب ‪:‬‬
‫‪Pjs=(3/2)rI²‬‬ ‫‪ -‬إذا كانت ‪ r‬المقاومة المقاسة بين طورين ‪:‬‬
‫‪ -‬أما إذا كانت ‪ R‬المقاومة المقاسة بين الطور والحيادي ‪:‬‬
‫‪Pjs=RI²‬‬ ‫‪ )2‬في حالة إقران مثلثي ( ‪: ) Δ‬‬

‫‪Pjs=3RI²‬‬ ‫‪ )1‬في حالة إقران نجمي ) ‪: ( Υ‬‬

‫‪78‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫‪ ‬الضياع في حديد الساكن ‪ :‬هي اإلستطاعة الضائعة في النواة الحديدية للعضو الثابت وذلك بسبب وجود‬
‫التيارات الدوامية وظاهرة التخلف المغناطيسي و يكون ثابتا ‪Pfs :‬‬
‫‪ ‬االستطاعة المنقولة )‪ (puissance Transmise‬الى الدوار ‪ :‬بعد الضياعات‪ ،‬اإلستطاعة الممتصة ‪Pa‬‬
‫المتبقية ستنتقل مغناطيسيا عبر الثغرة الهوائية إلى العضو الدوار ويرمز لها بــ ‪: Ptr‬‬
‫‪Ptr = Pa –Pjs -Pfs‬‬
‫‪ ‬أما العزم الكهرومغناطيسي المنقول ‪( Ttr‬المزدوجة الكهرومغناطيسية ‪ ) C‬وحدته (نيوتن× متر) ‪:‬‬
‫‪Ttr =Ptr /2πns‬‬
‫‪ ‬الضياع بفعل جول في الدوار ‪ :‬هي اإلستطاعة الضائعة على شكل حرارة وتسمى أيضا بالضياعات النحاسية‬
‫‪Pjr= g Ptr‬‬ ‫في مقاومة ملفات الدوار و تحسب ‪:‬‬
‫‪ ‬االستطاعة الكهرومغناطيسية ‪ :‬بعد الضياعات‪ ،‬اإلستطاعة المنقولة ‪ Ptr‬المتبقية ستتحول من قدرة كهربائية‬
‫إلى استطاعة كهرومغناطيسية ويرمز لها بــ ‪Pem =Ptr – Pjr : Pem‬‬
‫‪ ‬أما العزم الكهرومغناطيسي ‪ Tem‬وحدته (نيوتن× متر) ‪ :‬هو العزم الناشئ من اإلستطاعة الكهرومغناطيسية‬
‫‪Tem =Pem/2πn‬‬
‫‪ ‬الضياع الميكانيكي ‪ :‬تخضع اإلستطاعة الكهرومغناطيسية إلى ضياعات بسبب اإلحتكاك الذي يتعرض له‬
‫الدوار مع الهواء وحوامل العمود ‪ .‬وتسمى هذه الضياعات باإلستطاعة الميكانيكية ويرمز له بــ ‪ : Pm‬وهو‬
‫ثابت‬
‫‪ ‬الضياعات الثابتة أو الضياعات في فراغ هي مجموع الضياعات في الحديد و الضياعات المكانيكية ‪.‬‬
‫‪Pc = PfS + Pm = P0‬‬
‫‪ ‬االستطاعة المفيدة )‪ :(puissance utile‬هي الجزء المتبقي من اإلستطاعة الكهرومغناطيسية المتحولة بعد‬
‫خصم الضياعات الميكانيكية ‪:‬‬
‫‪Pu= Pem – Pm = Pa-Pjs-Pfs-Pjr-Pm‬‬

‫‪Tu =Pu / 2πn‬‬ ‫‪ ‬أما العزم المفيد ‪ Tu‬وحدته (نيوتن× متر) هو العزم الناشئ من اإلستطاعة المفيدة ‪:‬‬

‫‪η=Pu/Pa‬‬ ‫‪ ‬المردود ‪:‬‬

‫‪η=Pem / Ptr‬‬ ‫‪ ‬أما المردود في الجزء الدوار ‪:‬‬

‫الحصيلة الطاقوية ‪:‬‬

‫‪79‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬

‫‪ .4‬إقالع المحركات الالتزامنية ثالثية الطور ‪:‬‬


‫‪ 1-4‬التنظيم الوظيفي وتعيين مكونات الخط ‪:‬‬

‫يوجد عدة طرق إلقالع المحركات الالتزامنية و من بينها اإلقالع المباشر واإلقالع نجمي ‪ /‬مثلثي ‪.‬‬
‫‪ 2-4‬اإلقالع المباشر ‪:‬‬
‫تغذي نبضة على الزر ‪ S2‬وشيعة المالمس )‪ (KM1‬مما يؤدي إلى غلق مماسات )‪ (KM1‬ومنه إقالع المحرك ‪.‬‬
‫يتم توقيف المحرك بنبضة على ‪. S1‬‬
‫وهذا هو مبدأ اإلقالع المباشر بحيث يوصل مباشرة بشبكة التغذية ويتم اإلقالع في شوط واحد‪.‬‬
‫و يتميز هذا االقالع بتيار اقالع كبير و عزم مزدوجة كبير ‪ ،‬وينتج بالتالي هبوط كبير في التوتر مما يتسبب في‬
‫اضطراب االنارة و كل الحموالت الموجودة على الشبكة ‪ .‬لذا يستعمل هذا النوع من االقالع في حالة المحركات‬
‫ذات استطاعة محدودة أقل من ‪ 5KW‬والتي تقوم بجر آالت ذات عطالة صغيرة‪.‬‬

‫دارتي الإلستطاعة والتحكم‬ ‫الميزة ‪:‬‬

‫‪80‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫‪ 3-4‬اإلقالع نجمي ‪ /‬مثلثي ‪:‬‬
‫تستعمل هذه الطريقة في المحركات العاملة بالربط المثلثي فقط‪ .‬يتم إقالع المحرك في شوطين حيث يتم ربط‬
‫المحرك نجميا عند اإلقالع حتى ينخفض التوتر المطبق على كل طور إلى القيمة ‪ U / √3‬فيقل التيار في أطوار‬
‫المحرك ثم يتغير الربط ليصبح مثلثيا عندما تصل السرعة إلى القيمة االسمية تقريبا ‪ ،‬و في هذا اإلقالع يقل تيار‬
‫اإلقالع إلى الثلث وكذلك العزم مقارنة مع المباشر ‪.‬‬

‫الميزة ‪:‬‬

‫سلبيات و إيجابيات اإلقالع المباشر ‪:‬‬

‫سلبيات و إيجابيات اإلقالع نجمي‪ -‬مثلثي ‪:‬‬

‫‪81‬‬
‫الوحدة األولى ‪ :‬المحرك الالتزامني ثالثي الطور‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬

‫‪ .4‬الكبح الكهرومغناطيسي ‪:‬‬

‫يوجد أنواع من المكابح من بينها الكبح الكهرومغناطيسي وهو عبارة عن كبح ميكانيكي ‪ ،‬يتكون من مكبح‬
‫أسطواني يحيط بالمحور الدوار ويكون كابسا عليه خارج التغذية‪ .‬عند تغذية الوشائع ينفك المكبح عن المحور‬
‫ليسمح للدوار بالدوران وعند قطع التغذية يكبس المكبح المحور مسببا التوقف عن الدوران‪.‬‬

‫يستغل هذا النوع من الكبح في التوقف اإلستعجالي‪.‬‬

‫مالحظة ‪ :‬كبح جيد وفعال لكنه يحدث ضجة عند الكبح‬

‫مثال ‪ :‬إقالع مباشر ذو اتجاه واحد مزود بمكبح كهرومغناطيسي‪.‬‬

‫‪82‬‬
‫الوحدة الثانية ‪ :‬المحرك خطوة خطوة‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬

‫‪ .1‬تعريف المحرك خطوة خطوة ‪(moteur pas à pas) :‬‬

‫المحرك خطوة خطوة هو منفذ يقوم بتحويل النبضة الكهربائية إلى حركة زاوية‬
‫للدوار وهذا ما يسمى بـ الخطوة ‪ .‬يستعمل هذا النوع من المحركات في األجهزة‬
‫التي تتطلب مراقبة السرعة أو دقة الموضع (الطابعة) ‪.‬‬

‫تصنف المحركات خطوة خطوة حسب ‪:‬‬


‫الدوار ‪ :‬وهي ثالثة أنواع‬
‫ّ‬ ‫‪.2‬‬
‫‪ ‬محرك ذو مغناطيس دائم )‪(M. à aimant permanent‬‬
‫‪ ‬محرك ذو مقاومة مغناطيسية متغيرة )‪(M. à reluctance variable‬‬
‫‪ ‬محرك هجيني )‪(M. hybride‬‬
‫‪ .1‬الساكن ‪ :‬وهي نوعان‬
‫‪ ‬أحادي القطب‪ :‬تحتوي لفات الساكن على نقطة وسطية‬
‫‪ ‬ثنائي القطب ‪ :‬ال تحتوي لفات الساكن على نقطة وسطية‬

‫‪ .2‬محرك ذو مغناطيس دائم ‪:‬‬


‫يستعمل مبدأ تأثير الحقل المغناطيسي على مغناطيس بحيث ‪:‬‬
‫‪ ‬يحتوي الساكن على دارة مغناطيسية يلف عليها وشائع التي تتلقى نبضات التيار المرسلة من دارة التحكم ‪.‬‬
‫‪ ‬الدوار عبارة عن مغناطيس دائم يحتوي على ‪ P‬أزواج األقطاب‪.‬‬

‫المقادير المميزة لهذا النوع من المحركات ‪:‬‬

‫أ‪ .‬عدد األطوار (الوشائع) ‪m :‬‬


‫ب‪ .‬عدد أزواج أقطاب الدوار ‪P :‬‬
‫ج‪ .‬نوع التغذية ‪K1 :‬‬
‫‪ ‬المحرك أحادي القطب ‪ : K1 = 1‬ال يتغير وجه اللف‬
‫‪ ‬المحرك ثنائي القطب ‪ : K1 = 2‬يتغير وجه اللف‬

‫د‪ .‬نوع التبديل ‪K2 :‬‬


‫‪ ‬تبديل متناظر ‪( K2 = 1‬الخطوة الكاملة)‪ :‬ال يتغير عدد األطوار المحرضة خالل دورة التشغيل‪.‬‬
‫‪ ‬تبديل غير متناظر ‪( K2= 2‬نصف خطوة)‪ :‬يتغير عدد األطوار المحرضة خالل دورة التشغيل‪.‬‬

‫‪N = m. P. K1 . K2‬‬ ‫ه‪ .‬عدد الخطوات في الدورة (الوضعيات) ‪: N‬‬


‫‪360°‬‬ ‫𝜋‪2‬‬
‫=‪α‬‬ ‫= )‪(°‬‬ ‫)‪(rad‬‬ ‫و‪ .‬الخطوة الزاوية ‪: α‬‬
‫𝑁‬ ‫𝑁‬

‫مالحظة ‪ :‬يتقدم المحرك خ‪/‬خ بخطوة واحدة لكل نبضة يتلقاها أي أن محوره ينجز خطوة دوران بزاوية معينة‪ ،‬يمكن‬
‫أن تتغير هذه الزاوية في مجال كبير من ‪ 0,9°‬إلى ‪. 90°‬‬

‫‪83‬‬
‫ المحرك خطوة خطوة‬: ‫الوحدة الثانية‬ ‫ وظيفة اإلستطاعة‬: ‫المحور الخامس‬
: ‫ التغذية والتحكم في محرك ذو مغناطيس دائم أحادي القطب‬1-2

(pas entier / pas entier avec couple maximal) ‫ التحكم بالخطوة الكاملة‬.‫أ‬

Variante 1 : Position T1 T2 T3 T4
commande par pas
1 1 0 0 0
entiers
une seule phase 2 0 0 1 0
alimentée à la fois
sens anti - horaire 3 0 1 0 0
4 0 0 0 1

Variante 2 : Position T1 T2 T3 T4
commande par pas
1 1 0 1 0
entiers
deux phases alimentées 2 0 1 1 0
en même temps
(augmentation du couple 3 0 1 0 1
moteur) 4 1 0 0 1
sens anti - horaire
(demi-pas) ‫ التحكم بنصف الخطوة‬.‫ب‬

Variante 3 : Position T1 T2 T3 T4
commande par
1 1 0 0 0
demi - pas
(double le 2 1 0 1 0
nombre de pas
par tour) 3 0 0 1 0
sens anti- 4 0 1 1 0
horaire
5 0 1 0 0
6 0 1 0 1
7 0 0 0 1
8 1 0 0 1

84
‫الوحدة الثانية ‪ :‬المحرك خطوة خطوة‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫‪ 2-2‬التغذية والتحكم في محرك ذو مغناطيس دائم ثنائي القطب ‪:‬‬

‫أ‪ .‬التحكم بالخطوة الكاملة )‪(pas entier avec couple maximal‬‬

‫‪Variante 1 :‬‬ ‫‪Position T1 T2 T3 T4 T'1 T'2 T'3 T'4‬‬


‫‪commande par‬‬
‫‪pas entiers‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪sens anti -‬‬ ‫‪2‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪horaire‬‬
‫‪3‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪4‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬

‫ب‪ .‬التحكم بنصف الخطوة )‪(demi-pas‬‬

‫‪Variante 2 :‬‬ ‫‪Position T1‬‬ ‫‪T2 T3 T4 T'1 T'2 T'3 T'4‬‬


‫‪commande‬‬
‫‪par demi - pas‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪sens anti-‬‬ ‫‪2‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪horaire‬‬
‫‪3‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪4‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬
‫‪5‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪6‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪7‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪1‬‬ ‫‪1‬‬
‫‪8‬‬ ‫‪1‬‬ ‫‪1‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬ ‫‪0‬‬

‫مالحظة ‪ :‬عندما نريد زيادة عدد خطوات المحرك خ‪/‬خ يجب زيادة عدد أسنان (أقطاب) الساكن أي عدد األطوار‪.‬‬

‫‪85‬‬
‫الوحدة الثانية ‪ :‬المحرك خطوة خطوة‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫‪ .3‬التحكم في المحركات خ‪/‬خ باستعمال الدارة المندمجة ‪: SAA1027‬‬

‫ج‬
‫د‬
‫و‬
‫ل الحقيقة للدارة المندمجة ‪: SAA1027‬‬

‫التصميم المنطقي لدارة التحكم في المحرك خ‪/‬خ ‪:‬‬

‫المخطط الزمني ‪:‬‬

‫‪86‬‬
‫الوحدة الثانية ‪ :‬المحرك خطوة خطوة‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬
‫‪ .4‬محرك ذو ممانعة متغيرة ‪:‬‬
‫‪ 1-4‬التكوين‬
‫يتكون المحرك ذو مفاعلة متغيرة من دوار غير ُممغنط ( ‪acier‬‬
‫‪ ،)doux‬اسطواني‪ ،‬يحمل أسنانا و محرض ساكن رقائقي‬
‫(‪ )lamellé‬حيث يُحاط كل سن بتلفيف (الشكل المقابل)‪.‬‬

‫‪ 2-4‬مبدأ المفاعلة المتغيرة ‪:‬‬

‫يرتكز هذا المبدأ على القاعدة التالية ‪:‬‬


‫في دارة مغناطيسية‪ ،‬يؤول التدفق إلى القيمة األعظمية‪ ،‬لهذا يبحث‬
‫دائما عن الدارة أقل مفاعلة‪ ،‬كما هو الشأن في الدارات الكهربائية‬
‫حيث يسلك التيار الدارة أقل مقاومة‪ .‬يبين‬
‫الشكل الموالي‪ ،‬وضعية الدوار عند تحريض التلفيف‪.‬‬

‫‪I=0‬‬ ‫‪I≠0‬‬

‫‪ 3-4‬التشغيل ‪:‬‬
‫يحتوي المحرض دائما على عدد أسنان ‪ Ns‬مختلف عن عدد أسنان الدوار ‪ Nr‬بحيث ‪Ns > Nr :‬‬

‫‪ 4-4‬المقادير المميزة‬
‫𝝅𝟐‬
‫𝜋‪2‬‬ ‫𝜋‬ ‫= 𝒔∝‬
‫= ‪∝s‬‬ ‫=‬ ‫تطبيق ‪= 45° :‬‬ ‫𝒔𝑵‬ ‫‪ : αs ‬الزاوية بين سنين متجاورين للساكن‪.‬‬
‫‪8‬‬ ‫‪4‬‬

‫𝜋‪2‬‬ ‫𝜋‬ ‫𝝅𝟐‬


‫= ‪∝r‬‬ ‫=‬ ‫تطبيق ‪= 60° :‬‬ ‫= 𝒓∝‬
‫𝒓𝑵‬ ‫‪ : αr ‬الزاوية بين سنين متجاورين للدوار‬
‫‪6‬‬ ‫‪3‬‬

‫تطبيق‪α = 15° :‬‬ ‫‪α = αr – αs‬‬ ‫‪ ‬الخطوة الزاوية ‪:‬‬

‫تطبيق ‪N= 360°/15° = 24 pas :‬‬ ‫=𝑵‬


‫𝝅𝟐‬ ‫‪ ‬عدد الخطوات ‪:‬‬
‫∝‬

‫‪N=m.d‬‬ ‫عدد الخطوات = عدد األطوار × عدد أسنان الدوار‬ ‫أو ‪:‬‬
‫تطبيق ‪N=6x4=24 pas :‬‬ ‫‪ : d‬عدد أسنان الدوار‬ ‫‪ : m‬عدد األطوار‪،‬‬ ‫مع ‪:‬‬

‫‪87‬‬
‫الوحدة الثانية ‪ :‬المحرك خطوة خطوة‬ ‫المحور الخامس ‪ :‬وظيفة اإلستطاعة‬

‫‪ .5‬المحركات الهجينة ‪:‬‬

‫‪ .6‬خصائص المحركات خطوة‪-‬خطوة ‪:‬‬

‫‪88‬‬
‫الفهرست‬
‫‪ .I‬تضخيم اإلشارات التماثلية‬
‫‪ .1‬طرح اإلشكالية‬
‫‪ .2‬مبدأ تضخيم اإلستطاعة‬
‫‪ .3‬تحديد موقع مضخم اإلستطاعة في سلسلة التضخيم‬
‫‪ .4‬مضخم اإلستطاعة قسم ‪(classe B) : B‬‬
‫‪ .5‬صفحة تقنية‬
‫‪ .II‬تضخيم اإلشارات المنطقية‬
‫‪ .1‬طرح اإلشكالية‬
‫‪ .2‬مبدأ تضخيم اإلستطاعة‬
‫‪ .3‬تركيب بمقحل ثنائي القطبية ‪ -‬تذكير‪-‬‬
‫‪ .4‬تركيب ‪Darlington‬‬
‫‪ .5‬تركيب بمقحل ‪MOSFET‬‬
‫‪ .6‬الترياك ‪Triac‬‬
‫‪ .7‬الترياك الضوئي ‪Opto-triac‬‬

‫وظيفــة تضخيم اإلستطــاعة‬


‫‪Amplification de puissance‬‬

‫عندما تكون استطاعة إشارة الدخول (إشارة التحكم) ضعيفة بشكل يجعلها غير قادرة على‬
‫التحكم على العنصر أو الجهاز المتحكم فيه‪ ،‬في هذه الحالة يستوجب األمر المعالجة‪ ،‬وذلك‬
‫برفع استطاعة اإلشارة إلى مستوى يجعلها قادرة على التحكم‪ .‬وال يتحقق ذلك إال باستعمال‬
‫تركيب يقوم بهذه العملية يسمى بمضخم اإلستطاعة‪.‬‬

‫‪89‬‬
‫الوحدة األولى ‪ :‬تضخيم اإلشارات التماثلية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ -1‬طرح اإلشكالية ‪:‬‬

‫المشكلة ‪:‬‬

‫الحل ‪:‬‬

‫‪ -2‬مبدأ تضخيم اإلستطاعة ‪:‬‬

‫‪ -3‬تحديد موقع مضخم اإلستطاعة في سلسلة التضخيم ‪:‬‬


‫الشكل التالي يمثل مضخم صوت لجهاز الراديو‪:‬‬

‫‪ -4‬مضخم اإلستطاعة قسم ‪(classe B) : B‬‬


‫في هذا الصنف وكمعظم المضخمات في اإلستطاعة يتم تضخيم‬
‫اإلستطاعة عن طريق تضخيم التيار‬
‫‪ 1-4‬التركيب ‪:‬‬

‫إذن زمن تشغيل كل مقحل هو ‪ :‬نصف دور‬

‫‪90‬‬
‫الوحدة األولى ‪ :‬تضخيم اإلشارات التماثلية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ 2-4‬موضع نقطة الراحة ‪:‬‬

‫إذن إحداثيات نقطة التشغيل السكوني ‪:‬‬

‫‪ 3-4‬التشغيل ‪:‬‬

‫‪91‬‬
‫الوحدة األولى ‪ :‬تضخيم اإلشارات التماثلية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ ‬ميزة التحويل‪Vs = f(Ve) :‬‬

‫‪ 4-4‬التشوهات وإزالتها ‪:‬‬

‫نسجل المنحنيين التاليين إلشارتي الخروج والتحويل ‪:‬‬

‫نالحظ ‪ :‬أن التشوهات المشاهدة سابقا زالت‬

‫‪92‬‬
‫الوحدة األولى ‪ :‬تضخيم اإلشارات التماثلية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ 5-4‬اإلستطاعات والمردود ‪:‬‬

‫‪ 1-5-4‬اإلستطاعة الموفرة من مصدر التغذية ‪:‬‬

‫‪ 2-5-4‬اإلستطاعة الموفرة للحمولة ‪:‬‬

‫‪ 3-5-4‬المردود ‪:‬‬

‫‪93‬‬
‫الوحدة األولى ‪ :‬تضخيم اإلشارات التماثلية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫صفحة تقنية ‪:‬‬

‫‪94‬‬
‫الوحدة الثانية ‪ :‬تضخيم اإلشارات المنطقية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫الهدف ‪ :‬هو تضخيم اإلشارات المنطقية التي تصدر عن وحدة المعالجة قصد التحكم في المنفذات والمنفذات المتصدرة‪.‬‬

‫‪ -1‬طرح اإلشكالية ‪:‬‬


‫مثال ‪:‬‬

‫اإلشكال ‪:‬‬

‫الحل ‪:‬‬

‫‪ -2‬مبدأ تضخيم اإلستطاعة ‪:‬‬

‫‪ -3‬تركيب بمقحل ثنائي القطبية )‪- : (transistor bipolaire‬تذكير‪-‬‬

‫‪95‬‬
‫الوحدة الثانية ‪ :‬تضخيم اإلشارات المنطقية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ -4‬تركيب ‪: Darlington‬‬

‫‪96‬‬
‫الوحدة الثانية ‪ :‬تضخيم اإلشارات المنطقية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ -5‬تركيب بمقحل ‪: MOSFET‬‬


‫‪ 1-5‬الرمز ‪:‬‬

‫‪97‬‬
‫الوحدة الثانية ‪ :‬تضخيم اإلشارات المنطقية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫‪ 2-5‬شبكة المميزات و التشغيل ‪:‬‬

‫‪ 3-5‬االستعمال‬

‫‪98‬‬
‫الوحدة الثانية ‪ :‬تضخيم اإلشارات المنطقية‬ ‫المحور السادس ‪ :‬وظيفــة تضخيم اإلستطــاعة‬

‫إشكال ‪ :‬إن التركيبات السابقة بمقاحل ال يمكن استعمالها للتحكم مباشرة في حمولة في التيار المتناوب‪ ،‬فما هو العنصر‬
‫الذي يقوم بهذا الدور ؟‬
‫يمكن استعمال عنصر كثير االستعمال في االلكترونيك يسمى الترياك‬

‫‪ -6‬الترياك ‪: le Triac‬‬
‫‪ 1-6‬الرمز والميزة ‪:‬‬

‫‪ 2-6‬التشغيل ‪:‬‬

‫إشكال ‪:‬‬

‫‪ -7‬الترياك الضوئي )‪: (opto-triac‬‬

‫‪99‬‬
‫الفهرست‬
‫المبدأ العام لسلسلة اكتساب وتحويل المعلومات‬ ‫‪.I‬‬
‫‪ .1‬وظيفة الكشف‬
‫‪ .2‬وظيفة التكييف‬
‫‪ .3‬وظيفة التحويل‬
‫‪ .II‬ملتقطــــات الجـــوار‬
‫‪ .1‬ملتقطات الجوار الحثية‬
‫‪ .2‬ملتقطات الجوار السيعية‬
‫‪ .3‬دليل اختيار ملتقطات الجوار السيعية والحثية‬
‫‪ .III‬المستبدالت ‪Les convertisseurs‬‬
‫‪ .1‬المستبدالت الرقمية التماثلية )‪(CNA‬‬
‫‪ 1.1‬الرمز‬
‫‪ 2.1‬المميّزات‬
‫‪ 3.1‬تكنولوجيا المستبدالت الرقمية التماثلية‬
‫‪ .2‬المستبدالت التماثلية الرقمية )‪(CAN‬‬
‫‪ 1.2‬الرمز‬
‫‪ 2.2‬المميزات‬
‫‪ 3.2‬تكنولوجيا المستبدالت التماثلية الرقمية‬

‫وظيفــــة اكتساب وتحـــويل المعلومات‬


‫‪Les capteurs et les convertisseurs‬‬

‫إن ركيزة البناء األساسية في المنطق التوافقي هي البوابة المنطقية بينما ركيزة‬
‫البناء الضرورية في المنطق التعاقبي هي "القالب" حيث هذا األخير عند توصيل‬
‫مجموعة منه فيما بينهم يقوم بعدة عمليات ‪ :‬التخزين‪ ،‬العد وإزاحة المعلومات‪.‬‬
‫ونكون بذلك ما يسمى بالدارات المنطقية التعاقبية‪.‬‬

‫‪100‬‬
‫الوحدة األولى ‪ :‬المبدأ العام لسلسلة اكتساب وتحويل المعلومات‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫مقدّمــــة ‪:‬‬

‫المخطط الوظيفي لإلكتساب والتحويل ‪:‬‬

‫‪ -1‬وظيفة الكشف ‪:‬‬

‫‪ -2‬وظيفة التكييف ‪:‬‬

‫‪101‬‬
‫الوحدة األولى ‪ :‬المبدأ العام لسلسلة اكتساب وتحويل المعلومات‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫‪ -3‬وظيــــفة التحويل ‪:‬‬

‫تنظيم وظيفة التحويل ‪:‬‬

‫العنصر التقني الذي يقوم بالتحويل من التماثلي إلى الرقمي يسمى ‪ :‬مستبدل تماثلي رقمي )‪CAN (ADC‬‬

‫العنصر التقني الذي يقوم بالتحويل من الرقمي إلى التماثلي يسمى ‪ :‬مستبدل رقمي تماثلي )‪CNA (DAC‬‬

‫‪102‬‬
‫الوحدة الثانية ‪ :‬ملتقطــــات الجـــوار‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫‪ -1‬ملتقطات الجوار الحثية ‪:‬‬

‫‪ 1-1‬المكونات العامة و الرمز ‪:‬‬

‫‪ 2-1‬مبدأ التشغيل ‪:‬‬

‫‪ -‬كيفية اإلشتغال ‪:‬‬

‫‪103‬‬
‫الوحدة الثانية ‪ :‬ملتقطــــات الجـــوار‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫‪ -2‬ملتقطات الجوار السيعية ‪:‬‬


‫‪ 1-2‬المكونات العامة و الرمز ‪:‬‬

‫‪ 2-2‬مبدأ التشغيل ‪:‬‬

‫‪ -‬كيفية اإلشتغال ‪:‬‬

‫‪ -3‬دليل اختيار ملتقطات الجوار السيعية والحثية ‪:‬‬

‫‪104‬‬
‫الوحدة الثالثة ‪ :‬المستبدالت‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫‪ 1‬المستبدالت الرقمية التماثلية ‪(Convertisseur Numérique Analogique) : CNA‬‬


‫‪ 1-1‬الرمز ‪:‬‬
‫‪ADC : Analog Digital Converter‬‬

‫‪ 2-1‬المميزات األساسية ‪:‬‬


‫‪ 1-2-1‬دالة التحويل ‪Vs = f(N) :‬‬
‫‪ 2-2-1‬الخطوة ‪(quantum) :‬‬
‫هي التغير في المخرج عندما يتغير المدخل بـ ‪( LSB‬الرقم األقل‬
‫معنوية)‪ ،‬يرمز لها بـ ‪ q‬وتعطى من خالل العالقة ‪:‬‬
‫𝑆𝐹𝑉‬ ‫𝑆𝑉‬
‫= 𝑞 مع ‪ : N‬الكلمة الثنائية عند المدخل‪.‬‬ ‫=‬
‫‪2𝑛 −1‬‬ ‫‪(𝑁)10‬‬
‫و ‪ : n‬عدد أبيات المدخل‬

‫‪ 3-2-1‬التباين ‪:‬‬

‫مثــال ‪:‬‬

‫‪ 3-1‬تكنولوجيا المستبدالت الرقمية التماثلية )‪: (CNA‬‬


‫‪ 1.3.1‬مستبدل رقمي تماثلي بشبكة مقاومات ‪ : R-2R‬دراسة الــ ‪( DAC0800‬البطاقة التقنية ص ‪)104‬‬
‫مبدأ التشغيل ‪ :‬يحول المستبدل الترميز الثنائي المطبق في المداخل إلى تيار الذي يتناسب مع هذا الترميز بواسطة‬
‫مقاومات وقاطعات الناتجة من مقاحل ‪ MOSFET‬الشكل التالي يمثل مبدأ تشغيل مستبدل رقمي‪-‬تماثلي ‪ 4‬أرقام ‪:‬‬

‫‪105‬‬
‫الوحدة الثالثة ‪ :‬المستبدالت‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫‪ -‬عبارة ‪: Iout‬‬

‫‪ 2.3.1‬مستبدل رقمي تماثلي بشبكة مقاومات موزونة ‪(résistances pondérées) :‬‬

‫‪106‬‬
‫الوحدة الثالثة ‪ :‬المستبدالت‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫هـــام ‪:‬‬

‫‪ -2‬المستبدالت التماثلية الرقمية )‪(Convertisseur Analogique Numérique) : (CAN‬‬

‫‪ 1-2‬الرمز ‪:‬‬
‫‪DAC :Digital Analog Converter‬‬

‫‪ 2-2‬المميّزات األساسية ‪:‬‬


‫هي نفسها مميزات المستبدل الرقمي التماثلي‪.‬‬
‫𝑆𝐹𝑉‬ ‫𝑛𝑖𝑚𝑉‪𝑉𝑚𝑎𝑥 −‬‬
‫= 𝑉𝑞‬ ‫=‬ ‫عبارة الخطوة ‪:‬‬
‫𝑛‪2‬‬ ‫𝑛‪2‬‬
‫)‪N=f(Ve‬‬ ‫‪ 1-2-2‬دالة التحويل ‪:‬‬

‫أ‪ .‬مراحل التحويل ‪:‬‬


‫تتم عملية ترقيم إشارة تماثلية بالمراحل التالية ‪:‬‬
‫‪ -‬تحديد قيم منفصلة مع الزمن لإلشارة التماثلية ما يسمى بـ " اإلستعيان "‬
‫)‪.(Echantillonnage‬‬
‫‪ -‬المحافظة )‪(blocage‬‬
‫‪ -‬ثم ترميز القيم إلى عدد ثنائي‪.‬‬
‫‪ : Te‬زمن اإلستعيان‬

‫‪107‬‬
‫الوحدة الثالثة ‪ :‬المستبدالت‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫ميزة النقل ‪:‬‬


‫بالمعايرة (اإلستعيان ) يقسم مجال تغيير اإلشارات التماثلية إلى مجاالت منتظمة‬
‫حسب عدد األبيات الموجودة‪.‬‬
‫مالحظة ‪ :‬جميع القيم المحصورة بين القيمة الوسطية ألحد المجاالت و القيمة‬
‫الوسطية للمجال الموالي تترجم بنفس العدد الثنائي‪.‬‬
‫مثال ‪ :‬الرقم الثنائي ‪ 002‬يوافق جميع القيم التماثلية المحصورة بين ‪ q‬و ‪. 2q‬‬

‫‪ 3-2‬تكنولوجيا المستبدالت التماثلية الرقمية ‪:‬‬


‫مستبدل تماثلي رقمي بتقريبات متتالية ‪ :‬دراسة الدارة ‪( ADC0804‬البطاقة التقنية ص ‪)104‬‬
‫‪ -‬المخطط المبدئي ‪:‬‬
‫نبدأ بوضع ‪ MSB‬في ‪ 2‬والباقي في ‪ 0‬ثم تحول الكلمة الثنائية‬
‫المحصل عليها بواسطة ‪ CNA‬فنحصل على نصف التوتر في‬
‫كامل السلم نقارنه بتوتر الدخول (التوتر المراد تحويله)‪:‬‬
‫‪ ‬في حالة يكون أكبر نرفض ‪ 2‬ونعوضه بـ ‪ 0‬الحالة‬
‫العكسية نحتفظ بـ ‪.2‬‬
‫‪ ‬نواصل العملية مع باقي األرقام حتى نصل إلى الرقم‬
‫األقل قوة ‪.LSB‬‬
‫محتوى السجل هي القيمة المكافئة لتوتر الدخول‪.‬‬
‫𝑁 ∗ 𝑉𝑞 = 𝑡𝑢𝑜𝑉‬ ‫أما عبارة توتر الخروج ‪ Vout‬للمستبدل ‪: CNA‬‬
‫𝑓‪𝑉𝑟é‬‬
‫= 𝑡𝑢𝑜𝑉‬ ‫) ‪(𝑏𝑛 + 𝑏𝑛−1 ∗ 2 + ⋯ + 𝑏1 ∗ 2𝑛−1‬‬
‫𝑛‪2‬‬
‫𝑓‪𝑉𝑟é‬‬ ‫𝑓‪𝑉𝑟é‬‬ ‫𝑓‪𝑉𝑟é‬‬
‫= 𝑡𝑢𝑜𝑉‬ ‫‪. 𝑏1 +‬‬ ‫‪𝑏2 + ⋯ +‬‬ ‫𝑛𝑏‬
‫‪2‬‬ ‫‪4‬‬ ‫𝑛‪2‬‬

‫‪108‬‬
‫الوحدة الثالثة ‪ :‬المستبدالت‬ ‫المحور السابع ‪ :‬وظيفة اكتساب وتحويل المعلومات‬

‫‪109‬‬
‫معلومات‬

‫وحدات قوى العشرة المرادفة لوحدات القياس ‪:‬‬

‫‪110‬‬

You might also like