You are on page 1of 12

This article has been accepted for inclusion in a future issue of this journal.

Content is final as presented, with the exception of pagination.

IEEE SYSTEMS JOURNAL 1

Architectural Considerations for Next


Generation IoT Processors
Vanita Agarwal , Member, IEEE, Rajendrakumar A. Patil , Member, IEEE, and Arunkumar B. Patki

Abstract—Currently, the growth of the first generation Internet possible only after departing from traditional coding application
of Things (IoT) system for low-end devices having less memory and programming interface (API) methodology to hardware inten-
infrastructure is challenged due to the lack of engineering support sive algorithmic methodology in processor building blocks. IoT
in terms of architecture, technology, hardware, privacy, security,
and business. This paper discusses system engineering issues rel- infrastructure has been in the same transition phase and it is
evant in IoT processors and builds a case for exploring rough set necessary to explore this from various perspectives beyond the
as an approximate computing technique in next generation IoT routine themes such as business skills, IT skills, technology
hardware. The proposed approach has a potential of dealing with extensions, etc.
inconsistencies arising at layers in hardware infrastructure for IoT Before digging deeper into the link that exists between the
applications with a dominance at cloud and fog processing. The
authors bring out a research direction in IoT as an engineering processor architecture and its impact on IoT infrastructure, let
discipline with an emphasis on the impact of processor hardware us briefly discuss the real-time response as a basic challenge
extension. that leads to a significant departure from API coded software
Index Terms—Approximate computing, hardware design, incon-
to hardware instruction set support. The computing hardware
sistency, inconsistent information systems (IIS), indiscernibility, in- platforms presently deployed are oriented from a numerical
formation gain, Internet of Things (IoT), IoT hardware, missing number-crunching perspective. It is in this context that arith-
attribute, processor design, rough set technique (RST), smart city metic and logic unit (ALU) is the heart of any CPU. While
project (SCP), very large scale integration (VLSI). such limitation was discovered in the past and was substantially
overcome by the digital signal processing community almost
three decades ago by way of introducing a multiplier accumula-
I. INTRODUCTION
tor (MAC) unit as a building block, it remained unnoticed by a
NTERNET of Things (IoT) architecture can be visualized as
I a model composed of four layers (application layer, service
support layer, network layer, and device layer) [1]. The network
larger section of technology developers as DSP was catering to a
very small section of end products. Unlike this, IoT has a larger
impact.
layer provides relevant control functions for networking, mobil- The type of security attacks to IoT infrastructure reported [3]
ity management, authentication, and transport capabilities. The are indicative of the fact that merely continuing with the present
service support layer caters to the needs of organized services at approach is not useful. Furthermore, Meltdown and Spectre flaw
cloud/fog/edge interfaces in an integrated manner by providing affect all modern processors from Intel, ARM, etc. [4]. The ef-
seamless hierarchical reliability, availability, safety, and security fect is also felt on fog and edge devices including smart phones
features. These capabilities give rise to inconsistent information and tablets. Operating systems such as Windows, Linux, Mac
systems (IISs) [2] at every layer and the issues arising out of OS, Android, and iOS are exposed to such vulnerabilities. This
machine-to-machine and device-to-device communication. IoT is because of a speculative execution present on almost all the
protocols are inherently light weight type (e.g., 6LoPAN) and state of the art processors that in turn predict the command
involves automatic (without human intervention) processing of or execution path using privilege kernel memory. In the con-
large volume data sets in real time. The implementation of algo- text of IoT, this will result into security directed victimization,
rithms for handling IIS using traditional electronic data process- since most of the IoT operating systems such as mbed, Riot,
ing hardware suffers from nonavailability of specific hardware Contiki are derived from Linux philosophy. The vulnerabilities
instructions as a processor architecture support. The situation is are easily extended to the IoT operating system platforms too.
identical to the era when we did not have specific instructions To overcome these problems on PC and workstations, patches
(such as MMX) at a processor level to handle multimedia re- have been released. However, this is only a transition arrange-
sources. The complete emergence of e-learning, YouTube was ment unless hardware level solution for processor architecture
is incorporated into edge devices and fog platforms.
The authors, therefore, re-explore the International Telegraph
Manuscript received February 3, 2018; revised May 25, 2018 and November Union—Telecommunication Standardization Sector (ITU-T)
9, 2018; accepted December 24, 2018. (Corresponding author: Vanita Agarwal.) approach as shown in Fig. 1 for assessing information systems
The authors are with the Department of Electronics and Telecommunication, inconsistencies at different layers from IoT hardware perspec-
College of Engineering Pune, Pune 411005, India (e-mail:,vsa.extc@coep.ac.in;
rap.extc@coep.ac.in; abpatki.extc@coep.ac.in). tive. It is, therefore, necessary to deviate from the number-
Digital Object Identifier 10.1109/JSYST.2018.2890571 crunching perspective of computing hardware and dwell into

1937-9234 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications standards/publications/rights/index.html for more information.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

2 IEEE SYSTEMS JOURNAL

TABLE I
VARIOUS HARDWARE AND SOFTWARE SOLUTIONS FOR IOT REFERENCE
MODEL POSSESSING INCONSISTENCIES

Fig. 1. IoT environment establishing the need for eliminating the inconsisten-
cies at layers as hardware infrastructure.

the approximate processing hardware paradigm (on top of con-


ventional ALU).
The authors explore the foundations of IoT Infrastructure with
a specific purpose to:
1) understand the major technology breakthrough that will
be the result of approximate computing as an integral part
of IoT hardware building blocks;
2) develop case study for research direction in IoT as an
engineering discipline;
3) understand the impact of rough set based processor hard-
ware extension;
4) develop computational footprint adaptationals using
rough set technique (RST) [2], [5], [6] (such as RISC
architecture three decades ago).
Table I highlights IoT hardware and software components
with ITU-T layer-wise features and its significance. As IoT
The remainder of this paper is organized as follows. Section II
hardware has no accepted definition, the discussion here en-
discusses the challenges for the IoT community and provides
compasses cloud/fog/edge computing processors and sensor
solutions as well as introduces approximate computing tech-
networks. An emphasis on power/energy consumption there-
nique. Section III presents some case studies where RST could
fore is given by introducing and supporting cognitive comput-
be used to further illustrate our vision of the next generation
ing for IoT and by removing the existing bottlenecks arising out
IoT hardware. Section IV highlights the computational work-
of number-crunching (it needs multiple calculations and makes
load due to IISs in an IoT environment. Section V highlights the
these systems more intensive thereby increasing workload and
existing first generation IoT hardware. Section VI explores the
memory requirements) perspective of computing hardware plat-
next generation IoT hardware. This section discusses the role
forms. The context of approximate computing is introduced us-
and scope of approximate computing and rough set in the next
ing RST-based framework (low end devices [7]). This context
generation IoT hardware. Section VII concludes this paper by
can lead to evolving hardware, which will enhance the merely
establishing the need for solving the problems arising out of the
number-crunching scenario prevailed in the past in the domain
IoT environment using IIS.
of IoT. In addition, the number-crunching based encryption and
crypto policies have to be readdressed in the light of Melt-
II. CHALLENGES AND EMERGING TRENDS
down/Spectre processor flaws.
The current trend of deploying embedded hardware is inad- In spite of several encouraging market forecasts and projec-
equate to address issues arising out of inconsistencies at layers tions, the past decades witnessed a very limited growth in IoT
as hardware infrastructure. The next generation IoT architec- deployment. The variations in the deployment methodologies in
ture, especially the IoT supporting hardware platforms for IoT the IoT-based smart systems are so diverse that 8/16/32 b pro-
data processing have to be inclusive and need to eliminate the cessors such as Arduino, PIC, ARM, and Intel Galileo are shown
bottlenecks of computational processing for dealing with IIS as prospective candidates for processing platforms. This creates
perspective of IoT applications as shown in Fig. 1 and Table I. an impression that it is a distributive network of tiny processors
One such approach uses processor designs with modified in- and completely ignores the issues connected to the deployment
struction sets which are not available in the first generation IoT of large systems and engineering systems such as modeling and
devices based on Raspberry Pi, Intel Galileo, Arduino, or similar simulation for life cycle phases in massive technology of smart
processors [8]. cities, which affect day-to-day life of the people.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

AGARWAL et al.: ARCHITECTURAL CONSIDERATIONS FOR NEXT GENERATION IoT PROCESSORS 3

Thus, an integrated view toward systems and humans is a present software implementation seems to be too
prerequisite for efficient human machine systems for successful slow (such as theoretical analysis) to use in IoT
smart city projects. The authors were exploring these issues applications and needs development of hardware
from academic and technology view point and it emerged that specific modules.
the IoT infrastructure was the primary concern. There is a lack 3) Scalable data analytic and event processing en-
of adequate hardware support. In this context, the developments gine are required on the cloud side as well as
on machine learning algorithms by Google and the subsequent the premise/gateways with a variety of SDKs and
progress achieved were revealed by Google [9] through their communication agents, data caching and bandwidth
proprietary tensor processing unit (TPU) hardware unit. TPU is management as a different layer and levels of the
a trend toward approximate computing. hierarchy. The sensor data should be combined to
infer an event (complex event processing—CEP)
and respond to them as quickly as possible. An
A. Challenges for the IoT Community RST for detection oriented CEP exists.
Various challenges in achieving characteristics and require- 4) Power down logic—with the new generation of IoT
ments for the IoT community are summarized in the following. things, low capacity (mAH) battery operated sen-
1) Characteristic of IoT Systems: sors are available which are resource constrained.
1) Workload is related to organization, recognition, 5) Machine intelligence quotient (MIQ) is a product
mining, search, analytic, and inference. The focus specification for IoT system. A fuzzy logic can be
is diverting from calculating numerically precise used for MIQ measurements. Standardization is a
results to correctness, which produces quite good prerequisite for successful IoT smart cities.
results to produce an acceptable user experience. 6) The new in-memory streaming technologies that
The problem with an IoT System is that today’s change the rate are required for some IoT
computers are designed to compute precise results applications.
even when it is not necessary. 7) Encryption/decryption (AES or DES)—Sensor
2) Big data in part is dirty, non-homogeneous, and in- level crypto security and/or hardware level crypto
secure. The associated computer architecture such processors should be used to prevent attack at the
as storage, information processing and analysis in edge. The scope of approximate computing us-
real time of time-series data [10], and possibility ing RSTs is an emerging potential technology be-
of missing data attributes is a matter of concern. cause of the constant use of passwords and cryp-
The problem here is that IT industry is not geared tographic keys buried in a computer’s kernel is a
up for embracing artificial intelligence (AI) through real-time probabilistic computation of Fuzzy hash-
hardware. ing that facilitates computation of lower approxi-
3) The traditional IT cloud and its ecosystem is only mation and upper approximation using elementary
60–70% similar to IoT cloud and its ecosystem. set (ES) of the kernel memory snapshot. This con-
The seemingly ready IoT cloud can be rendered text triggered piece wise hashes will be used in
almost useless due to the 30–40% difference for combination with the instruction set of rough set
all the applications. The problem here is that the processor specifically when missing attributes are
traditional IT cloud, web, or mobility applications generated [14].
cloud use much bigger devices with more resources In the context of processing the real-time streaming data,
on the cloud side [11]. Table II shows the sizes of cache, memory, etc., present in
4) Information overload due to handling of large the latest processors for processing the ever increasing trillions
databases is currently suffering from a surplus of data. For the real-time requirement, the data needs to be
amount of irrelevant data [12]. processed at fog and edge interface. There is a never ending
2) Requirement of IoT Systems: requirement of bigger cache and memory for processing tril-
1) Large scope computing implies fractal loading as lions of data. Therefore, cache and memory that are installed
against large scale computing. Fractal loading im- today will be “limited” in size after a year. By using bigger size
plies the coexistence of different but related things memory and cache day by day, IoT edge based devices will
(data formats) at different levels of scale, opposing become power hungry system. Fig. 2 shows the orthogonality
the maximization of the capacity of uniform com- (neither similarity nor overlap) at the architecture level in IoT
munication channels dedicated to a single type of hardware. To achieve the quality attributes in design, implemen-
exchange. tation, and deployment; the architecture of processors, sensors,
2) Information gain is a useful indicator to decide wireless sensor networks, and power supply systems are dif-
whether particular data must be available in the ferent. Merely scaling the existing systems will not serve the
database (for processing/computation) or can it be current day issues and/or problems arising out of the character-
partitioned out (for storage and retrieval). This con- istics and requirements of IoT systems. These two issues have
cept can be utilized to reduce the cloud process- not been addressed adequately.
ing computational load and to restore to edge/fog The high speed processing and/or multicore processing can
computing [13]. The problem therefore is that the never be a solution to seamless implementation at fog/edge
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

4 IEEE SYSTEMS JOURNAL

TABLE II
LATEST PROCESSOR SPECIFICATIONS SUCH AS CLOCK SPEED, MEMORY SIZES,
CACHE SIZES, PROCESSOR CORES, AND THERMAL DESIGN POWER

Fig. 3. Challenges in Section II-A grouped as a number-crunching require-


ment vis-a-vis approximate computing requirement.

of throughput, performance, power/energy balance. While there


could be some disagreement on the grouping brought out on
classification, the fact still remains that approximate processing
is emerging as a back bone for IoT computing needs. The au-
thors provide the following similarity to facilitate understanding
of the relevance of approximate processing in IoT. In the past,
the approximate data processing was conceived and effectively
used in multimedia systems (image, video, audio processing)
that clearly led to the development of MPEG activities. Here,
image compression, A to D and D to A audio conversions (ana-
log to digital domain transformations and vice-versa) emerged
as “deviations” from strict number crunching paradigm of the
then computational schemes. However, a more in-depth approx-
imate processing is proposed here using RSTs for IoT applica-
tions to address IIS projected at ITU-T framework. The “real
time” in this context is not continuous in nature, but is “pop-up”
in appearance as and when sensors activate (normally dormant).
Unless supported through hardware support [16], we cannot
address such concerns of ITU-T IISs.

B. Approximate Computing Architecture


Fig. 2. Orthogonality at architecture level in IoT hardware processors, sensors,
wireless sensor networks, and power supply systems to achieve the quality Approximate computing has been identified and described
attributes in design, implementation, and deployment. in the recent literature as an alternative information process-
ing methodology at hardware platform level. According to the
infrastructure in an IoT environment in the light of “Dark Sili- researchers from Purdue University [17], a large number of ap-
con” [15] experience. The authors, therefore, explore the context plications utilize significant computing resources and the spec-
of approximate computing as a paradigm shift to enhance the trum ranges from mobile and IoT devices to large scale data
performance of IoT hardware from power/energy consumption centers. Such applications possess a high degree of intrinsic er-
criteria. ror resilience to their computations executed in an approximate
It is imperative to understand from the above salient char- manner. The correctness produces adequate results. It is a com-
acteristic features of IoT systems that the current practice of putation that returns a possibly inaccurate result rather than a
“Installation-Commissioning-Acceptance-Testing” adopted by guaranteed accurate result, for a situation where approximate
industry should be replaced by real-time methodologies. Opti- result is sufficient.
mization has to be replaced with AI and cognitive informatics, The second use of the term approximate computing intro-
which is a completely missing attribute of the present genera- duced by rough set knowledge processing community is a rep-
tion IoT platforms. The market projections of billions of IoT resentation of dealing with concept generation to formulate rule
devices deployed by the end of 2020 can never be fulfilled bases for incompletely specified systems. While the Purdue
since the existing IoT infrastructure does not cater to most of researcher’s approach promotes error resilient computational
the requirements depicted in Section II-A. The authors take a philosophy, we subscribe to an approach of inconsistency in
large encompassing view and analyze these ten characteristics information systems arising out of data. This use of the term is
in the context of “number crunching” vis-a-vis “approximate more appropriate in the IoT context since most of the IoT data
processing” as illustrated in Fig. 3. analytic never assumes error resilient characteristics, especially
In the absence of dedicated hardware for cognitive load pro- in strategic industrial IoT applications.
cessing, we resort to software solutions, which use number- Architectural considerations such as energy efficient ap-
crunching hardware. Thus, resulting into an unacceptable level proaches for IoT applications have been explored in the context
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

AGARWAL et al.: ARCHITECTURAL CONSIDERATIONS FOR NEXT GENERATION IoT PROCESSORS 5

of sensor nodes and enhanced cloud utilization [18]. While these initiatives. The issue of human behavior modeling has to be
are significant contributions for heavily dominated sensor-based addressed as it is not the case of providing human interface
IoT infrastructures, the gap exists in the context of uncertain- through GUI enriched with multimedia support. The case of
ties arising out of the data flow in IoT cloud and fog systems. introducing fractal-based support therefore is illustrated in the
While the amount of energy consumed vis-a-vis traffic rate have context of which data virtualization and image processing are
been examined, the processing incompetency of the present IoT to be considered.
CPU/processor hardware still remains an unexplored area. En-
ergy efficient hardware or software and its availability in com-
mon IoT architectures has not been addressed for processor de- B. IoT Deployment for Smart City Projects
sign in the context of instruction set and support for IoT specific Data over collection for smart city projects appears to be a
programming languages. The green perspective of IoT cannot source of victimization. Li et al. propose inclusive cloud ori-
be restricted only through cosmetic approaches [19] that can ented security solutions for smart city data [22]. This may be
lead to scalability concerns. Thus, the processor level support acceptable at consumer IoT installations but not for industry
is important. It is in this context that the rough set hardware co- because the issues such as tracking location, accessing photos,
processor is used as approximate computing for dealing with and address book are not relevant in industrial environment. As
IoT data analytic using IISs. factory automation by the next generation will be real time fo-
To appreciate case studies highlighted in Section III, the re- cused, approximate computing oriented fog-based services will
searchers emphasize on creating a small footprint for computa- have dominance in industrial IoT.
tion at instruction level. The information processing community For smart cities, the concept of focusing on smart communi-
emphasizes on processor with RAM, hard disk, network infras- cation to support value added services has been projected [23].
tructure, and operating system integration to support hardware. A Web service approach is proposed for urban IoT environment
Whereas, the computational workload emphasizes on reducing using CoAP protocols. This study considers processing require-
the computational footprint irrespective of the supported RAM, ment but not cognitive fractal based computational load. Human
hard disk, and network infrastructure. behavior is not modeled to support its interface and integration
The recent trends are indicators for enriching approximate with image processing. As the road traffic moves, an image as
computing. Power efficiency is a primary concern of IoT-related data virtualization gets generated instead of image processing
applications, both at the sensor node and on its cloud-computing because of the inherent limitation in the existing image pro-
counterpart [20]. Symbolic aggregate approximation computing cessing technique deployed. ARM cortex R52 is used to exploit
provides solutions to many challenges associated with current full potential of devices with hyper visors to manage safety
data mining tasks [21]. While the above recent trends are es- and security. Cortex R52 does not support Lower approxima-
sentially algorithmic approaches in IoT analytic due to big data, tion LA and Upper approximation UA and similar instructions
hardware approach is necessary to have a substantial impact (basic concepts of RST [24]) and thus not suitable for reducing
(large population if sensor clusters are present due to wireless computational footprints.
sensor networks). The current hardware and software technologies do not make
computing image analytic possible for real-time image process-
III. CASE STUDY ing that forces human behavior modeling. Hardware architec-
tural support to compute progression of fractal dimension at
This section discusses some case studies where the RST could
periodic time intervals on data has to be deployed to eliminate
be used to further illustrate our vision of the next generation IoT
the human. To computer fractals and meeting the real-time re-
hardware. Most of these activities can be undertaken only after
quirements, computational load using procedural programming
an adequate IoT hardware support is available.
languages leads to multicore hardware platforms unless resorted
to declarative programming. It is therefore not possible to meet
A. Research Directions for IoT cognitive computational requirement of IoT deployment. Thus,
Research in IoT emphasizes on massive scaling, architec- there is a basic need to design new hardware architectures. To
ture, robustness security, and human in the loop [8], integrating facilitate fractal related computation, rough set concepts using
technological support. It leads to initiatives to lower approximation LA and upper approximations UA have to
1) extend existing technologies to adapt to IoT environments; iteratively followed.
2) model human behavior to incorporate human in the loop Smart city transportation—Fig. 4 shows the evolving plot at
control; a visualization console for a city transport network in which an
3) major initiative to deploy IoT systems success- exact position of a bus can be traced, showing its starting to
fully. end destinations with an image evolving over a period of 30–45
The first initiative leads to a transition from IT to IoT us- min. The visualization console displays real-time sensor data
ing micro controller and embedded processor however serving minimizing the human in the IoT loop. The fractal dimension
a limited purpose to create confidence in prototype develop- of the real-time transport data for different buses needs to be
ment. Unless substantiated with impact oriented major mod- compared with the fractal dimension of a permanent road struc-
ification, it cannot lead to the targeted growth in IoT sector ture, which is a prerequisite for a road transport system and is a
which themes around a qualitative change physical systems. The must for one way traffic, enhancing signal waiting time for the
smart vision has to address challenges to integrate all the three selected routes on specified hours.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

6 IEEE SYSTEMS JOURNAL

hardware and software including compilers and OS. Thus, con-


cept generation can substitute context generation using LA and
UA approach of RSTs.
Typically, an IoT system is an amalgamation of
cloud/fog/edge computing platforms. The trend to deploy edge
computing as supplementary add on service for cloud offload-
ing is an IT requirement recommending the collaborative edge
computing for a smart city. We extend this argument in the con-
text of large data quantity, low latency, and location awareness
using the approach of generating rules which will be ad hoc in
nature and will work only in real time.
Fig. 4. Snapshot of evolving plot of Pune city BRTS network on visualization While discussing the case of video analytic for a missing
console for a period of 1 h from 10:00 to 11:00 A.M. [25].
child, the limitation of uploading camera data on cloud is dis-
cussed from privacy issues, broadband traffic cost and data pro-
TABLE III cessing overheads for searching a huge quantity of data [13]. To
SAMPLE OF SENSOR DATA FOR A TIME SLOT OF 1 H
facilitate on the fly generation of rule basis, the approach of using
reduct and core (a feature of RST) needs to be strengthened for
programmability, data abstraction, service management, and se-
curity considerations. This is possible if hardware support exists
to undertake this computations in real time so that the bandwidth
requirement and latency issues arising out of (to and fro) data
transfer between edge platform and cloud computing platform
can be kept at bare minimum. The issues of memory footprint
and limitations of general multichip machine learning architec-
ture had been examined in the past in the context of CNN and
DNN [27]. A neural network supercomputer has been proposed
for overcoming the capability problems arising out of multichip
configurations. While a neural network supercomputer may be
justified for specialized applications of strategic significance.
This approach is not feasible for IoT infrastructure primarily
due to an impact of IoT as enabling technology for smart cities,
industrial IoT, and similar high volume mass technology ori-
ented applications. This has been discussed at length in the next
section.
Table III shows a sample of sensor data for a time slot of 1 h.
Presently, such computations are only done for academic and IV. COMPUTATIONAL WORKLOAD DUE TO IISS IN
postmortem purposes and do not need the real-time support. The IOT ENVIRONMENT
feasibility of such computations in the IoT sector is hampered
In the past, big data focused on volume, velocity, and veracity.
due to unavailability of real-time support from hardware and
The first two have a completely different context in sensor-
architecture. In Table III, there are four conditional attributes.
based IoT systems than the third one. Rapid machine learning
The use of LA , UA computation at every time stamp 10, 10:10,
algorithms were attempted in the past for integrating camera
10:20, and so on is prerequisite to evolving fractal dimension of
generated and synthesized data virtualization produced artifacts
the data shown on visualization console.
but they were limited to cloud-based platforms equipped with
The authors intend to refer to such cases such as confronting
huge amounts of RAM [28]. On the other side, sensor-based
violence and vulnerability in the urban century, fractal disease
IoT infrastructure is constraint in terms of processing power
growth in urban environment, fractal wave wind flow, fractal
and available RAM in which OS level support is minimal on
traffic congestion network, etc. [3].
IoT low end edge devices.
In the moving sensor environments, IoT infrastructure can
C. Edge Computing
result in loss of data communication. The system used either
Edge devices such as sensors, actuators, and hand-held elec- cloud or fog computing infrastructure. A situation is illustrated
tronic gadgets such as smart phones are usually equipped with where decision to switch over from cloud computing to fog com-
interface and connectivity on fog/cloud processing platforms in puting platform, after experiencing the bulk volume of missing
wireless communication mode. In the past, issues of the prereq- attributes of data fields in information system, was significant.
uisites of context generation, preservation, and deployment for The IoT system here caters to the needs of passenger buses,
remote feedback were examined [26] but they have restrictions. highway truck traffic, and courier fleet. Since transport systems
Packages such as MATLAB cannot be deployed due to lack of upload data to the cloud in real time, it results into holes in the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

AGARWAL et al.: ARCHITECTURAL CONSIDERATIONS FOR NEXT GENERATION IoT PROCESSORS 7

databases. The current practices of Platform as a Service (PaaS) TABLE IV


CODE PROFILING RESULTS OF ES AND CS FOR INCONSISTENT
does not handle the real-time data processing and updating the DATA ANALYSIS ON CCS 5.1
actuator feedback subsystem efficiently, as it is not within the
existing (complete software approach) cloud capacity. The de-
signers therefore switch over to fog computing partially. To
cater to the specific workload arising from IISs, a support of co-
processor hardware can be deployed instead of cloud computing
infrastructure. IISs on the cloud can at best support postmortem
analytic as they are more stringent where the duration is in
minutes and seconds.
The electricity grid distribution system using IoT deploys
population of heavy duty transformers of different power capac-
ity, are geographically distributed and contains heterogeneous
mix of units. Distribution transformers are core of such IoT in-
frastructure and failures can result into economic or other losses.
Fault forecasting using IoT is a potential application where sen-
sor and actuator control are restricted significantly by response
time consideration. To handle the IISs, processing at fog and
edge level is crucial and hardware co-processor needs to be pro-
vided. Many platforms such as Intel, ARM, etc., cannot cope
with the processing load arising out of IISs at fog and edge
levels.
In electricity grid distribution transformer fault diagnostic
systems [29], the sources of missing attributes are typically due
to lacuna in data collection process, redundant diagnostic tests,
and unknown and/or corrupted data. The existing trend in data
analytic is to discard such records and restrict the scope to the
consistent-only schema of database snapshot. In IoT data ana- Fig. 5. Normalized frequency count plot for mix of L A –U A and ES–CS.
lytic, characteristics of the original system cannot be preserved
as all the data containing missing attributes is discarded. RSTs
adopt the concept support approach and are dealt with attribute TMS320C6713 (TI DSP processor) development board using
values: for a specific case is lost, is not relevant, and as “ do CCS 5.1 revealed that there is full justification for developing
not care conditions.” The computational load justifies a move hardware co-processor for supporting specific instruction set
from software to co-processor enabled IoT power grid. A need architecture for handling IISs.
for self-healing architectures is discussed in [30] and it is ex- Code profiling of ES and CS algorithm (basic concepts of
pected that the second generation IoT systems can be operative RST [24]) shows the utilization of L1, L2, S1, S2, D1T1, D1T2,
using co-processor hardware support. The scope of hardware D2T1, and D2T2 blocks. Furthermore, the frequency and type
augmented multifunctional comprehensive PaaS adaption will of instructions for inconsistent data analysis using RST are ob-
be restricted if cutting edge co-processors are not available at tained. The code profiling results of ES and crisp set (CS) as in
fog/edge levels to provide mandatory self-healing capacity for Table IV shows that multiplier M blocks are never used for any
high productivity PaaS options [31]. calculation. This may lead to Dark silicon and silicon wastage.
This study suggests approximate computing based on RSTs Fig. 5 shows a normalized frequency count plot of various in-
can facilitate modifications to assembly language, which in structions for LA –UA , ES–CS mixed kind of jobs
turn will promote development of high level programming lan- Bottle neck for several IoT devices data in real-time
guages. The concept of rough set with support for computation response—In an IoT-based environment, there are several bil-
of missing attributes is a step toward architectural hardware lion devices connected. All these devices interact and generate
support toward self-healing IoT systems. RST introduced by long exe files to be accommodated in RAM. This can happen
Professor Z. Pawlak in 1982 [2], [5], [6] is a mathematical and in cloud fog interface and it requires complex instruction set
AI technique for reasoning inconsistent, imprecise, incomplete computing kind of instructions. For edge to fog interface, long
data (properties of IIS) by evaluating equivalence relations be- exe files cannot be run due to a shortage of RAM size. Thus,
tween two sets of data and partitioning it on the basis of concepts instead of a compiler, an interpreter-based approach is used in
generated. For the same, RST does not use MAC kind of cal- edge computing. This is the reason that Python-based Raspberry
culations. The authors had undertaken code profiling work for Pi devices are in rise in IoT where the exe file is never produced
implementing RSTs to explore these issues and specifically in as shown in Table V. Table VI shows a comparison of potential
an environment where in addition to inconsistent information, candidates for RST-based edge device.
there is also an equal amount of digital signal processing com- In the realization of IoT systems, middle ware is described as
putational load [16]. The code profiling exercise undertaken on a key technology software system [32], to be the intermediary
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

8 IEEE SYSTEMS JOURNAL

TABLE V TABLE VII


EXAMPLE OF IOT DATA SIZE IN REAL-TIME RESPONSE STATISTICAL DATA ON NETWORK SECURITY FOR MESSAGE LABS BY
EUROPEAN COMMISSION IN 2007 [42]

TABLE VI
COMPARISON OF HARDWARE PLATFORMS FOR EDGE COMPUTING

VI. NEXT GENERATION IOT HARDWARE


The present big data analytic methods deploy machine learn-
ing methods which are typically based on linear regression,
random forest, and deep learning. The accuracy of most of
the statistical methods for large data sets converge with that
of Euclidean distance [35]. Moreover, these methods also lack
cognitive overloading. While data mining and data warehousing
between IoT devices and applications. In the open problems use noncognitive probabilistic and machine learning algorithms
and suggested solutions for IoT service discovery section of IoT which are ultimately for consumption by humans; such flexibil-
middle ware, the authors have focused on the need of IoT middle ity does not exist in IoT where most of things are devices and
ware design for lightweight device authentication, Denial of human intervention is kept bare minimum. Thus, the producer
service attacks and end-to-end security. All these methodologies and consumer of IoT data are things/devices and hence cognitive
generate extra computational workload which is of IIS type. overloading is an important issue when we deal with IISs.
Thus, the need for hardware co-processor support at a device According to Embedded Internet of Things Ecosystem futur-
level is the need for strategic industrial IoT systems. istic report [36], IoT requires a different set of microprocessors,
drivers, peripherals, batteries, and operating systems than con-
ventional embedded system used in general purpose computing
V. FIRST GENERATION IOT HARDWARE systems.
A cursory look at the current technical literature of IoT The authors have grouped the rough set related concepts in
hardware shows that the trend of “Installation-Commissioning- this section, although the authors preferred the introduction
Acceptance-Testing” is largely prevailing amongst hardware of rough set terminology and related concepts in the earlier
manufacturers. The availability of low cost, open source hard- sections.
ware platforms such as Arduino like boards (Atmel ATmega
micro controller), the ARM CORTEX processor based boards,
A. Information Systems/Tables
Intel Atom CPU based Edison and Galileo boards provides an
opportunity for building low-cost IoT devices. All these plat- An information system I (U, A) where U is a universal set
forms provide a generic sensor fusion support and data process- representing the objects/instances/cases. It is a non-empty finite
ing with wireless support. Linux-based platforms like Raspberry set of objects. “A” represents the attribute set, the non-empty fi-
Pi has high quality/reliability tradeoff. Microchip’s Amazon nite set of attributes/features. The rows represent objects, while
web services (AWS IoT) provides mutual authentication. The the columns represent attribute values belonging to these objects
NXP’s low power i.MX6 SoloLite with an ARM Cortex-A9 [37]. Independent variables are called attributes and a dependent
core contains 512 MB of LPDDR2 memory and a 4 GB MMC variable is called a decision and is denoted by d. In this paper,
flash module [33]. This approach undermines IoT hardware in we have utilized RST to approximately compute the threats on
IoT infrastructure and is dangerous. network security as shown in Table VII. This case study is in-
Furthermore, cloud-based IoT services still has many con- dicative of approximate computing in an IoT environment. RST
siderations needs to be solved such as secure communication, is a well-known technique for knowledge discovery and data
access controls for IoT cloud, identifying sensitive data, in-cloud mining process [38], [39],[40] and utilizes the approximation
data protection, cloud architectures, etc. [34]. technique.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

AGARWAL et al.: ARCHITECTURAL CONSIDERATIONS FOR NEXT GENERATION IoT PROCESSORS 9

Table VII contains universe U of elements, e.g., months. TABLE VIII


LOWER AND UPPER APPROXIMATION USING ROSE SOFTWARE FOR
Attributes A = spam mail, phishing rates, unique trojans, INCONSISTENT DATA IN TABLE VII
virus, etc. Condition attributes = a1, a2, a3, a4, a5, a6
Decision attribute = d

B. Indiscernibility
Indiscernibility is similarity, where similar objects are col-
lected in a set. The objects are classified into groups based on TABLE IX
the attributes/features. Objects belonging to the same category REDUCTS GENERATED USING ROSE SOFTWARE FOR INCONSISTENT
DATA IN TABLE VII
are considered to be indistinguishable to each other [41].

C. Approximation
In the algebraic space, RST approximates given concept(s)
using lower and upper sets of the concept(s), which are as
follows.
1) Lower approximation and positive region (LA ): The ele-
ments that doubtlessly belong to the set. TABLE X
CORE GENERATED USING ROSE SOFTWARE FOR INCONSISTENT
2) Upper approximation (UA ): The elements that possibly DATA IN TABLE VII
belong to the set.
The boundary region: Boundary region = UA –LA .
Set X is CRISP (Exact with respect to R), if the boundary
region of X is empty.
Set X is ROUGH (Inexact with respect to R), if the boundary TABLE XI
region of X is nonempty. CLASSIFICATION RESULTS USING ROSE SOFTWARE FOR INCONSISTENT
DATA IN TABLE VII

D. Accuracy of Approximation
Accuracy measure α R(X): A measure of how closely the
rough set R is approximating the target set X [37].
α R(X) = UA /LA
If α R(X) = 1, the R-borderline region of X is empty and the
set X is R-definable (i.e., X is crisp with respect to R). If α R(X)
TABLE XII
< 1, the set X has some non-empty R-borderline region and X RULES GENERATION USING ROSE SOFTWARE FOR INCONSISTENT
is R-undefinable (i.e., X is rough with respect to R). DATA IN TABLE VII

E. Rule Generation
Decision rules that are minimal and yet describe the data
accurately are obtained during this process.

F. Reduct and Core


The process of reducing an information system such that the
set of attributes of reduced information system is independent
and no attribute can be eliminated further without losing some
information from the system is called reduct.

G. Results and Discussion


The authors in [42] have used statistical indicators in the the reducts obtained. This means that all the attributes are
assessment of European policies and RTD on network trust and independent. Rule file also generates the following:
security. Even if there is an attack during some part of the day, Total number of objects = 10
the information can be used only for a postmortem kind of Total number of attributes = 7
analysis for futuristic understanding. Decision attribute classes = Y, N
The authors in this paper use ROSE software for generating The decision rules based on minimal covering algorithm and
lower and upper approximation, reduct, core, classification, extended minimal covering algorithm as shown in Table XII
and rules as shown in Tables VIII–XII, respectively. The RST points out that all the reducts are constant and equally applied
analysis in Table IX shows that a2, a3, a4, a5, and a6 are on all the attributes throughout the day. This means that the
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

10 IEEE SYSTEMS JOURNAL

network security protocols which should be applied to prevent TABLE XIII


SUMMARY OF ADVANTAGES AND DISADVANTAGES OF
such attacks is same for each attribute. Even such software-based POTENTIAL IOT HARDWARE CANDIDATES
analysis and prevention system is also not available today.
For achieving continuous real-time monitoring and time-
stamp analysis for prevention of attacks, hardware-based on
RST is required.

H. RST for Fault Tolerability in IoT Subsystems


Graceful degradation is a very significant consideration in
IoT infrastructure. Similarly, “smooth recovery” carries a rea-
sonable computational workload. It is necessary to realize that
these fall strictly under the approximate computing domain and
number-crunching operations of ALU can never lead to small
computational footprint.
Incomplete decision tables—Missing attribute values com-
monly exist in the real world data set due to errors in the data
collecting process such as recorded but erased later, redundant
diagnosis tests, unknown data, and so on. Discarding all data
containing the missing attribute values cannot fully preserve the
characteristics of the original system. The following approach
[43] is considered.
1) The attribute value for a specific case lost is denoted by
“?.” If for an attribute “a,” there exists a case “x” such that
ρ(x, a) = ?, then the case is not included in any block.
2) The attribute values that do not matter for the final out-
in decision rule register while arithmetic operation of computing
come are denoted by “*.” Ifρ(x, a) = *, then the case
strength, coverage and certainty factors are performed using
should be included for all specified x values.
arithmetic block.
3) Partial do not care or attribute concept case values are
Unlike Pawlak’s orientation, Muraszkiewicz and Rybinski
denoted by “-.” If ρ(x, a) = -, then the case x should
[46] explored the concept of RSP-based on cellular networks
be included in the block for all specified values where it
(matrix of interconnected elements of same type) and called it
supports the concept automatically.
parallel rough set computer (PRSComp). The trends brought out
4) In the past, fault tolerance typically addressed issues such
by Lewis et al. [47] presented a self-learning hardware model
as hot standby and cold standby on a total configura-
based on cellular concept. Implementation based on UA , LA ,
tion basis. At the upper end system level, the exploitation
core, and reduct calculation on Xilinx board. However, they did
through kernel (Meltdown/Spectre) is prone to these vul-
not explore time complexity, space complexity, and type of data.
nerabilities. If approximate computation directed by ESs
Kanasugi and Matsumoto conceptualized a processor [48],
at the previous time share slice is deployed then such vul-
[49] by computing discernibility matrix. It computed reduct and
nerabilities can be overcome. This is not presently feasible
generated rules using Skowrons discernibility matrix with bi-
due to the absence of instruction level support to introduce
nary attributes. No discussion on space complexity. Time com-
fault tolerance on a select basis.
plexity = O(|U |2 |A|2 ).
Different software such as ROSE, RSES, C, ROSETTTA, and
Some attempts by Sun et al. [50] made use of genetic algo-
MATLAB have been used by the researchers for bench mark-
rithm based attribute reduction system. Tiwari and Kothari [44],
ing several efficient algorithms for reduct and rule generation
[51] have designed rough set co-processor utilizing pipelining
[44]. The software provides flexibility but becomes slow while
between discernibility and reduct block. It supported Max at-
handling large database in IoT environment. The vast computa-
tributes = 65, sharing main memory from host computer, data
tional complexity of big data causes a delay in the optimization
objects = 256, time complexity = O(RA|U |2 ).
process of software implementations. To obtain real-time pro-
On the implementation of Reduct-based approaches, the work
cessing requirements, power, flexibility, fault tolerability, per-
of Jaroslaw et al. [52]–[54] computed short reduct and core
formance goals, hardware implementation of RST is needed.
based on discernibility matrix on FPGA.
It is obvious that power/energy consumption issues find a nat-
The emphasis is on reducing computational footprint irre-
ural solution if RST-based hardware is deployed for IoT data
spective of infrastructure and utilize edge computing for approx-
processing.
imately computing the rules in real time using RST. As discussed
in the earlier sections as well as in Table XIII, for supporting
I. RST Hardware Implementations IoT infrastructure seamless implementation at cloud/fog/edge
In the past, Pawlak [45] gave the first idea of a sample RST platforms, the RST hardware development in the past are in-
processor. Condition and decision attribute of objects are stored adequate. The major thrust for IoT hardware is on real-time
in the decision table. Meaningful rule from the data is computed activities arising out of scheduling of sensor events.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

AGARWAL et al.: ARCHITECTURAL CONSIDERATIONS FOR NEXT GENERATION IoT PROCESSORS 11

TABLE XIV ACKNOWLEDGMENT


PROPOSED RST HARDWARE DATABASE COMMAND SET
The authors wish to acknowledge the fruitful discussions with
the experts at MeitY, Government of India, for the earlier work
undertaken in pursuing the research and development work in
RSTs which has been useful for a quick start toward this project.

REFERENCES
[1] ITU-T, Overview of the Internet of Things: Next Generation Net-
works Framework and Functional Architecture Models. Jun. 2012.
[Online]. Available: https://www.itu.int/rec/T-REC-Y.2060-201206-I, Ac-
cessed: Jul. 1, 2016.
[2] Z. Pawlak, “Rough sets,” Int. J. Comput. Inf. Sci., vol. 11, no. 5, pp. 341–
356, 1982.
[3] M. Batty, Confronting Vulnerability and Violence in Urban
Another focus area of the proposed architecture will be in the Cities, 2016. [Online]. Available: www.spatialcomplexity.info/archives/
author/michael, Accessed: Jul. 1, 2016.
form of extending the support to communication for ITU-T rep- [4] Peter Bright, “Meltdown” and “Spectre”: Every Modern Processor
resentation of IoT structure. The limitation of device-to-device has Unfixable Security Flaws, 2018. [Online]. Available: https://
(D-2-D) communication [55] in the context of authenticating arstechnica.com/gadgets/2018/01/meltdown-and-spectre-every-modern-
processor-has-unfixable-security-flaws/, Accessed Jan. 9, 2018.
devices is an ignored aspect because of heterogeneous mix of [5] Z. Pawlak, “Rough classifications,” Int. J. Man Mach. Stud., vol. 20, pp.
devices and network provider. To solve this issue, a software- 469–483, 1984.
based module running on fog platform is proposed which will [6] Z. Pawlak, Rough Sets: Theoretical Aspects of Reasoning About Data.
Norwell, MA, USA: Kluwer, 1991.
make use of lower and upper approximation features to identify [7] O. Hahm, E. Baccelli, H. Petersen, and N. Tsiftes, “Operating systems for
and configure in real-time smaller subsections of D-2-D com- low-end devices in the internet of things: A survey,” IEEE Internet Things
munication segments. Thus, the existing limitations of hardware J., vol. 3, no. 5, pp. 720–734, Oct. 2016.
[8] J. A. Stankovic, “Research directions for the internet of things,” IEEE
level approach at device level gets partially resolved and allows Internet Things J., vol. 1, no. 1, pp. 3–9, Feb. 2014.
us to address the issues arising out of the diverse networks and [9] M. Abadi et al., “TensorFlow: Large-scale machine learning on hetero-
networking standards, heterogeneity of devices including unpre- geneous distributed systems,” White Paper, 2015.
[10] T. C. Fu, “A review on time series data mining,” Eng. Appl. Artif. Intell.,
dictable mobility of devices. Such situation needs RST hardware vol. 24, pp. 164–181, 2011.
that provides instruction set architecture support. Table XIV [11] K. Karimi, IT Cloud vs IoT Cloud, 2018. [Online]. Available:
shows the proposed RST hardware database command set. http://blog.atmel.com/2015/04/07/it-cloud-vs-iot-cloud/, Accessed: Oct.
20, 2016.
[12] S. Kapoor, T. Gupta, A. B. Patki, and R. C. Meharde, “Applications of
VII. CONCLUSION information gain methodology for corporate databases,” in Proc. 3rd Int.
Conf. Comput. Model. Simul., 2011, Paper S080.
While the paper brings out main contribution of this topic for [13] W. Shi, J. Cao, Q. Zhang, Y. Li, and L. Xu, “Edge computing: Vision and
challenges,” IEEE Internet Things J., vol. 3, no. 5, pp. 637–646, Oct. 2016.
IoT hardware platforms, it discusses the need for a deviation [14] J. Kornblum, “Identifying almost identical files using context triggered
from using commercially available off the shelf processors in piecewise hashing,” in Proc. Digit. Forensic Res. Conf., 2006, pp. S91–
the system level digital transformation. S97.
[15] H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and
There is a need to strengthen processor design for AI and D. Burger, “Dark silicon and the end of multicore scaling,” in Proc. 38th
cognitive informatics to meet the new challenges. It is totally Int. Symp. Comput. Archit., 2011, pp. 365–376.
missing in the present generation IoT hardware. [16] J. Adwani, “Code profiling for RST algorithm on DSP and embedded
processors,” M. Eng. Thesis, Electronics & Telecommunication, College
In this paper, the authors have carried out a study to justify Eng. Pune, Pune, India, 2017.
that rough set has a potential of reducing computational foot- [17] K. Roy and A. Raghunathan, “Approximate computing: An energy-
print at instruction level by dealing with concept generation to efficient computing technique for error resilient applications,” in Proc.
IEEE Comput. Soc. Annu. Symp., 2015, pp. 473–475.
formulate rule bases. Thus, RST can be considered as a po- [18] N. Kaur and S. K. Sood, “An energy-efficient architecture for the internet
tential direction for approximate computing technique for IoT of things (IoT),” IEEE Syst. J., vol. 11, no. 2, pp. 796–805, Jun. 2017.
applications. Introduction of instruction set for LA , UA at hard- [19] F. Karim Shaikh, S. Zeadally, and E. Exposito, “Enabling technologies
for green Internet of Things,” IEEE Syst. J., vol. 11, no. 2, pp. 983–994,
ware will facilitate efficient computation for IoT from systems Jun. 2017.
perspective. [20] DATE17, “Special session on approximate computing for IoT,” in Proc.
Rough set can also provide specialized hardware support for ICLAB, 2017.
[21] E. Keogh, J. Lin, and A. Fu, “HOT SAX: Efficiently finding the most
missing attribute, redundancy isolation for data storage, infor- unusual time series subsequence,” in Proc. 5th IEEE Int. Conf. Data
mation overloading, energy efficient (portable devices) for the Mining, 2005, pp. 226–233.
next generation IoT hardware exploration. Separate hardware [22] Y. Li, W. Dai, Z. Ming, and M. Qiu, “Privacy protection for preventing
data over-collection in smart city,” IEEE Trans. Comput., vol. 65, no. 5,
based on RST for IoT needs to work in tune with various oper- pp. 1339–1350, May 2016.
ating systems for IoT devices such as Contiki, RIOT, TinyOS, [23] A. Zanella, N. Bui, A. Castellani, L. Vangelista, and M. Zorzi, “Internet of
FreeRTOS, etc. Driver development feasibility is required for Things for smart cities,” IEEE Internet Things J., vol. 1, no. 1, pp. 22–32,
Feb. 2014.
running these operating systems. The proposed developments in [24] T. Munakata, “Rough sets” in Fundamentals of the New Artificial Intelli-
IoT hardware will lead to strengthening the cognitive computing gence Neural, Evolutionary, Fuzzy and More, 2nd ed. Berlin, Germany:
support in IoT systems. Springer, 2008, pp. 162–202.
This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination.

12 IEEE SYSTEMS JOURNAL

[25] Google Maps, Pune city BRTS Network Maps. 2016. [On- [49] A. Kanasugi and M. Matsumoto, “Design and implementation of rough
line]. Available: www.masterplansindia.com/maharashtra/route-map- rules generation from logical rules on FPGA board,” in Rough Sets
pune-brts-corridors, Accessed: Oct. 20, 2016. and Intelligent Systems Paradigms. Berlin, Germany: Springer, 2007,
[26] J. Wannenburg and R. Malekian, “Physical activity recognition from pp. 594–602.
smartphone accelerometer data for user context awareness sensing,” [50] G. Sun, X. Qi, and Y. Zhang, “A FPGA based implementation of rough
IEEE Trans. Syst., Man, Cybern., Syst., vol. 47, no. 12, pp. 3142–3149, set theory,” in Proc. Control Decis. Conf., 2011, pp. 2561–2564.
Dec. 2017. [51] K. S. Tiwari and A. Kothari, “Design and implementation of rough set
[27] T. Luo et al., “DaDianNao: A neural network supercomputer,” IEEE co-processor on FPGA,” Int. J. Innov. Comput. Inf. Control., vol. 11, no. 2,
Trans. Comput., vol. 66, no. 1, pp. 73–88, Jan. 2017. pp. 641–656, 2015.
[28] F. Sun, G. Huang, Q. M. Jonathan Wu, S. Song, and D. C. Wunsch II, [52] S. Jaroslaw, M. Kopczynski, and T. Grzes, “The first step toward proces-
“Efficient and rapid machine learning algorithms for big data and dynamic sor for rough set methods,” Fundamenta Informaticae, vol. 127, no. 1,
varying systems,” IEEE Trans. Syst., Man, Cybern., Syst., vol. 47, no. 10, pp. 429–443, 2013.
pp. 2625–2626, Oct. 2017. [53] T. Grzes, M. Kopczyski, and J. Stepaniuk, “FPGA in rough set based
[29] S. M. Shaaban and H. A. Nabwey, “Transformer fault diagnosis method core and reduct computation,” in Rough Sets and Knowledge Technology.
based on rough set and generalized distribution table,” Int. J. Intell. Eng. Germany: Springer, 2013, pp. 263–270.
Syst., vol. 5, no. 2, pp. 17–24, 2012. [54] M. Kopczynski, T. Grzes, and J. Stepaniuk, “Generating core in rough
[30] S. Kumar Khaitan and J. D. McCalley, “Design techniques and applica- set theory: Design and implementation on FPGA,” in Rough Sets and
tions of cyberphysical systems: A survey,” IEEE Syst. J., vol. 9, no. 2, Intelligent Systems Paradigms. Berlin, Germany: Springer, 2014, pp. 209–
pp. 350–365, Jun. 2015. 216.
[31] Gartner, IoT Adoption Is Driving the Use of Platform as a Service, 2016. [55] O. Bello and S. Zeadally, “Intelligent device-to-device communication
[Online]. Available: http://www.gartner.com/newsroom/id/3241817, Ac- in the internet of things,” IEEE Syst. J., vol. 10, no. 3, pp. 1172–1182,
cessed: Oct. 20, 2016. Sep. 2016.
[32] A. H. Ngu, M. Gutierrez, V. Metsis, S. Nepal, and Q. Z. Sheng, “IoT
middleware: A survey on issues and enabling technologies,” IEEE Internet
Things J., vol. 4, no. 1, pp. 1–20, Feb. 2017. Vanita Agarwal (M’17) received M.Tech. degree in
[33] W. Wong, What is Inside an IoT Chip? What Does an IoT SoC Need? microelectronics and VLSI design from the Indian
Everything From Embedded Security and Communications to Neural Institute of Technology Bombay, Mumbai, India.
Network and More, 2016. [Online]. Available: http://electronicdesign. She works currently as an Assistant Professor of
com/iot/what-inside-iot-chip, Accessed: Oct. 20, 2016. electronics and telecommunication with the College
[34] J. Singh, T. Pasquier, J. Bacon, H. Ko, and D. Eyers, “Twenty security con- of Engineering Pune, Pune, India. She has around
siderations for cloud-supported internet of things,” IEEE Internet Things 10 years of experience in teaching and research.
J., vol. 3, no. 3, pp. 269–284, Jun. 2016. Her areas of interests include microelectronics, VLSI
[35] X. Wang, A. Mueen, H. Ding, G. Trajcevski, P. Scheuermann, and design and technology, CMOS process integration,
E. Keogh, “Experimental comparison of representation methods and dis- and artificial intelligence. She is currently guiding
tance measures for time series data,” Data Mining Knowl. Discovery, M.Tech. students in the related areas.
vol. 26, no. 2, pp. 275–309, 2013.
[36] Research and Markets, Embedded Internet of Things (IoT) Ecosys-
tem: Next Gen Embedded System Hardware, Software, Tools, and
Operating Systems 2016-2021, 2016. [Online]. Available: http:// Rajendrakumar A. Patil (M’10) received the Ph.D.
www.researchandmarkets.com/research/cqnpdc/embedded-internet, Ac- degree from the Indian Institute of Technology Bom-
cessed: Nov. 20, 2016. bay, Mumbai, India.
[37] S. ThamaraiSelvi, “Estimating job execution time and handling missing He works as an Associate Professor of electronics
job requirements using rough set in grid scheduling,” in Proc. Int. Conf. and telecommunication with the College of Engineer-
Comput. Des. Appl., 2010, pp. V4-295–V4-299. ing Pune, Pune, India. He has around 32 years of
[38] N. Verma et al., “Rough set techniques for 24 Hour knowledge factory,” experience in teaching and research. His areas of
in Proc. 5th Nat. Conf./INDIACom-2011 Comput. Nat. Develop. 2011. interest include communication engineering, next
[39] A. B. Patki and S. Verma, “Implementing data mining software modules generation wireless network protocols, cross layer
using rough set techniques,” in Proc. Nat. Conf. Recent Develop. Comput. protocol optimization, co-operative relay networks,
Appl., 2009, pp. 443–452. cognitive radio, and performance modeling of net-
[40] T. Patki, A. Kapoor, and S. Khurana, “Analytical methodologies in works. He is currently guiding M.Tech. and Ph.D. students in the related areas.
soft computing: Rough sets techniques,” Tech. Rep. no. DIT/ SD(ABP)
MSIT/05, 2005.
[41] User’s Guide, ROSE 2—Rough Set Data Explorer. 2016, Accessed: Nov.
20, 2016. Arunkumar B. Patki received the M.Tech. degree
[42] A. Galetsas, “Statistical data on network security,” European Commission, in computer from the Indian Institute of Technology
Information Society and Media Directorate—General, Emerging Tech- (IIT) Kharagpur, Kharagpur, India, in 1975.
nologies and Infrastructures Security, Tech. Rep. no. ag/statistics v2.0, During 1972–1973, he worked as a faculty with
2007, Accessed: Nov. 20, 2016. the Government College of Engineering, Amravati,
[43] L. Jiye and N. Cercone, “Assigning missing attribute values based on India. During 1975–1977, he worked as a Project
rough sets theory,” in Proc. IEEE Int. Conf. Granular Comput., 2006, Officer with the IIT Kharagpur on hardware/software
pp. 607–610. R&D projects. In March 1977, he was employed with
[44] K. S. Tiwari and A. Kothari, “Design and implementation of rough set Ministry of Electronics and Information Technology
algorithms on FPGA: A survey,” Int. J. Adv. Res. Artif. Intell., vol. 3, no. 9, (erstwhile Department of Electronics), Government
pp. 14–23, 2014. of India and superannuated in March 2010 as a Senior
[45] Z. Pawlak, “Elementary rough set granules: Toward a rough set processor,” Director/Scientist-G and HoD. He has worked in various capacities on several
in Rough-Neural Computing Cognitive Technologies. Berlin, Germany: projects in the areas of artificial intelligence, software technology parks, etc. He
Springer, 2004, pp. 5–13. was instrumental in spearheading post-legislation activities of IT Act, 2000. He
[46] M. Mieczyslaw and H. Rybinski, “Towards a parallel rough set computer,” has been trained in VLSI design at Lund University, Lund, Sweden, and Mentor
in Rough Sets, Fuzzy Sets and Knowledge Discovery. Berlin, Germany: Graphics, Wilsonville, OR, USA. He is currently guiding B.Tech and M.Tech.
Springer, 1994, pp. 434–443. students at COEP. He has authored or coauthored more than 80 international
[47] T. Lewis, M. Perkowski, and L. Jozwiak, “Learning in hardware: Archi- publications. His current research areas include IoT, soft computing for infor-
tecture and implementation of an FPGA-based rough set machine,” in mation mining, and evidence based software engineering.
Proc. 25th IEEE EUROMICRO Conf., 1999, pp. 326–334. Prof. Patki has been a Referee for IEEE TRANSACTIONS ON RELIABILITY
[48] A. Kanasugi, “A design of architecture for rough set processor,” in Rough for more than 20 years. He holds a copyright for Fuzzy Logic Based Operating
Set Theory and Granular Computing. Berlin, Germany: Springer, 2003. Software. He has been a member of Scientists Selection Committees in DRDO.

You might also like