You are on page 1of 4

// or browse Examples

class packet;

int id;

string name;

function new();

id=0;

name="none";

$display("-------packet inti----------");

$display("name=%s, id=%d",name,id);

$display("--------------------------");

endfunction

function get(int id,string name);

this.id=id;

this.name=name;

endfunction

function display();

$display("-------packet----------");

$display("name=%s, id=%d",name,id);

$display("--------------------------");

endfunction

endclass

class id1;

string addr;

packet pkt;
function new();

addr="none";

pkt=new();

$display("-------id1 inti------------");

$display("addr=%s",addr);

$display("--------------------------");

endfunction

function get(string addr, name, int id);

this.addr=addr;

//this.pkt=get();

pkt.id=id;

pkt.name=name;

endfunction

function void display();

//super.display();

$display("-------id1----------------------------------");

$display("name=%s, id=%d, addr=%s",pkt.name,pkt.id,addr);

$display("----------------------------------------------");

endfunction

endclass

module top();

packet p1,p2;

id1 i1,i0;
initial begin

p1=new();

p1.get(123,"ramesh");

p1.display();

i1=new();

p2= new p1;

p2.display();

i1=new();

i1.get("k-16","ram",89);

i1.display();

i0=new i1;

i0.display();

end

endmodule

//output

You might also like