You are on page 1of 45

High-NA EUV Lithography Exposure Tool

For EUV roadmap extension


ASML Veldhoven, The Netherlands
Jan van Schoot, Jos Benschop, Sjoerd Lok, Eelco van Setten, Ruben Maas, Kars Troost, Jo Finders

Carl Zeiss SMT GmbH, Oberkochen, Germany


Paul Graeupner

22 January 2021, EUV-FEL Workshop, Japan / Cloud

Public
EUV product roadmap
Slide 2
EUVL Sep 2020

Wavelength NA, Half pitch 2020 2021 2022 2023 2024 2025
EXE:5000 EXE:5200
0.55NA, 8 nm 1.1 nm | 185 wph1 <1.1 nm | >220 wph
EUV NXE:3400C NXE:3600D NEXT
0.33 NA, 13 nm 1.5 nm | 135 wph2 / 145 wph3 1.1 nm | 160 wph <1.1 nm | >220 wph

0.55NA enabling affordable scaling beyond current decade

0.33NA continuous imaging, overlay and productivity improvements in line


with customers advanced node HVM requirements.

wafers/hours (wph) are based on 30mJ/cm²


1) 185wph@20mJ/cm2 / 150wph@30mJ/cm²
2) 170wph@20mJ/cm²
3) Throughput upgrade

Product: Matched Machine Overlay (nm)|Throughput(wph)


Product status Released Development Definition
Public
NXE productivity reached 170 wafers per hour
Productivity at higher exposure dose continues to increase Slide 3
SPIE Feb 2021

180 NXE:3600D
NXE:3400C
170
NXE:3400C
160 At customers

150 NXE:3400B NXE:3400C


ASML factory
Throughput [wafers per hour]

140
NXE:3400B
Roadmap
130 at customers
> 200 wph
120 NXE:3350B
NXE:3400B
110 ASML factory (proto)
NXE:3600D
100 ASML factory
90
NXE:3400B NXE:3400C
80 at customers at customers
70
60 NXE:3400B NXE:3400C
ASML factory
NXE:3300B ASML factory
50
40 NXE:3350B
NXE:3350B at customers
30 ASML factory

20
NXE:3300B
10 at customers

0
‘14 ‘14 ‘14 ‘14 ‘15 ‘15 ‘16 ‘16 ‘17 ‘17 ‘17 ‘18 ‘19 ‘19 ‘19 ‘19 ‘20 ‘21 24
Q1 Q2 Q3 Q4 Q3 Q4 Q2 Q4 Q1 Q3 Q3 Q1 Q1 Q3 Q2 Q4 Q1 Q1
ATP test: 26x33mm2, 96 fields, 20mJ/cm2 / 30mJ/cm2 Public
EUV collector transmission degradation meeting target
Average degradation rate 0.1%/GP on NXE:3400B/C Slide 4
SPIE Feb 2021

NXE:3300B NXE:3350B NXE:3400B NXE:3400B/C NXE:3400C

Degradation rate [%/GP] 0.6 0.4 0.15 0.1 (average) <0.05 %/GP
Power [W] 80 125 250 250
2015 2017 2019 2020
100
90 average
Collector transmission [%]

80 average
70
60
50
40 >3x higher source power
30
>20% increase in average collector transmission
20
10
Improved availability due to longer collector lifetime
0
0 20 40 60 80 0 20 40 60 80 100 0 20 40 60 80 100 0 20 40 60 80 100 120 140 160 180 200
Gigapulse Public
Wafers exposed on EUV systems grows exponentially
Slide 5
SPIE Feb 2021

26
Mln
Cumulative wafers exposed on EUV

Number of NXE:3400x systems


shipped (cumulative)
Number of NXE:3400x systems
shipped (cumulative)

4
Mln
31 38 45 53 55 62 76 85

Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

2019 2020
Public
Customer flagship products are powered by EUV
Public

Source: https://www.samsung.com/semiconductor/minisite/exynos/products/mobileprocessor/exynos-9825/, https://consumer.huawei.com/en/campaign/kirin-990-series/ Public


Slide 7
EUVL Sep 2020

Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary

Public
Over 35 years 2 orders of magnitude resolution reduction
by working on Wavelength, NA and k1 Slide 8
SPIE Febr. 2020

1000
λ
𝐶𝐷 = 𝑘1 Wavelength, nm
𝑁𝐴 436 g-line
i-line (365nm) 365 i-line
248 KrF
193 ArF and Immersion
NA+45%
= k1 x Wavelength / NA

KrF (248nm) XT:1400


Resolution, nm

100
NXT:1950i
ArF (193nm)
NA+67%
NXE:3400
High-NA
10 ArF Immersion (193nm) 13.5 EUV

EUV (13.5nm)
High-NA (13.5nm)

1985 1990 1995 2000 2005 2010 2015 2020


Public
EXE platform to further enable affordable shrink (3 nm Logic)
Total patterning cost comparison: immersion, NXE, EXE Slide 9
EUVL 2020

400
Relative cost per layer

Non-litho cost
300
Litho cost

200

100

0
LE LE-2 LE-3 LE-4 LE LE-2 LE-3 LE-4 LE LE
(20mJ) (30mJ)

Immersion NXE (EUV 0.33NA) EXE (EUV 0.55NA)

Source: Jan van Schoot et al. (ASML) ‘High-NA EUV lithography exposure tool progress’ SPIE 2019 Public
High-NA contrast reduces Local CDU
key to continue Moore’s law: Resist, Dose, Contrast Slide 10
SPIE Febr. 2020

Prone to fail
Resist Dose Contrast
(blur/chemistry)

ℎ𝑣 1 2
𝐿𝐶𝐷𝑈 = 3 ∙ ∙ ∙
𝑓 ∙ 𝛼 ∙ 𝐴𝑟𝑒𝑎 𝐷𝑠𝑖𝑧𝑒 𝐼𝐿𝑆
Whereby:
s = resist blur
Dsize = dose to size
ILS = image log slope
p = pitch

• Minimize Local variation by


EUV comes with • Improved resist: absorption ↑,
less photons/J
blur ↓ , chemical shot noise ↓
10nm CH, 20mJ/cm2 → 1000 photons • Maximize contrast: high-NA,
30% absorption → 300 photons advanced mask
30% determines the edge → 100 photons
Public
High-NA contrast reduces Local CDU and defects
Key to continue Moore’s law: Resist, Dose, Contrast Slide 11
EUVL Sep 2020

Resist Dose Contrast

ℎ𝑣 1 2 Prone to fail
𝐿𝐶𝐷𝑈 3𝜎, 𝑛𝑚 = 3 ∙ ∙ ∙
𝑓 ∙ 𝛼 ∙ 𝐴𝑟𝑒𝑎 𝐷𝑠𝑖𝑧𝑒 𝐼𝐿𝑆
Whereby:
a = resist absorption
f = proportionality factor with eg QE
Dsize = dose to size Yen, Hansen, EUVL
ILS = image log slope 1.0 20nm 1:1 Contact Holes 1.0 20nm 1:1 Contact Holes workshop (2018)
Area = area containing photons contributing to LCDU

Aerial image intensity [au]


High-NA: smaller CH’s at same dose
3.0
LCDU resist 3σ[nm]

1:1 CH Ta Mask
MOR Annular scaled 0.5 0.5
45 mJ/cm²
2.5
threshold
2.0
0.33NA
1.5
Att PSM 75 mJ/cm² 0.55NA
1.0 0.0 0.0
0 10 20 30 40 0 10 20 30 40
x-position [nm] x-position [nm]
0.5

0.0
• Edge photons and contrast determine hole size variability
11 13 15 17 19 21 23 25
• Center photons open developer path to bottom “pipe cleaners”
Half Pitch [nm]
• High-NA improves both aspects Public
20nm CH’s: Defects down by several orders
Impact of High-NA 2: more center photons Slide 12
EUVL Sep 2020

0.33NA 45 mJ/cm² 0.55NA 33 mJ/cm² 0.33NA 45 mJ/cm² 0.55NA 33 mJ/cm²


Proadblock = 3.2e-06 Proadblock ~ 0
1.0 1.0

Aerial image intensity (norm.)


Aerial image intensity (norm.)

0.8 0.8 -1
10
0.6 0.6 Printing contact holes

probability
-3
10
0.4 0.4
Roadblocks
-5
0.2 0.2
10
Bridging holes
0.0 0.0 -7
0 10 20 30 40 0 10 20 30 40
10
17.5 20.0 22.5 25.0 27.5 17.5 20.0 22.5 25.0 27.5
X position [nm] X position [nm] CD [nm]
~ 2x photons CD [nm]
Gijsbert Rispens, SPIE AL (2020)

+ 10% photons ~ 1 order less defects

De Bisschop, JM3 (2018)

(Defect rate)
closed

10log
open
Amount of center photons [a.u.]
Public
14nm CH’s: 0.55NA/SE expected to be better than 0.33NA/DE
Based on aerial images Slide 13
aerial image intensity EUVL Sep 2020

1.0
0.33 NA
Double Expose

0.8

Aerial image [a.u.]


EXE:5000
NXE:3400
0.6

0.4

0.2

0.0
0 10 20 30 40
0.55 NA
Single Expose

X position [nm]

Slope 1.35x and local photons 1.4x

Prediction: LCDU and defectivity


better despite
SE at smaller resolution
Public
Slide 14
EUVL Sep 2020

Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary

Public
Continuous resist improvement for multiple use cases
Progress is needed moving forward Slide 15
EUVL Sep 2020

2018 2019 2020 2018 2019 2020


Resist type1 Non-CAR Non-CAR Non-CAR Resist type1 Non-CAR Non-CAR CAR
EUVJ-2107 EUVJ-2107
Resolution: Resolution:
(P26 Linesand Spaces) (P40 Hexagonal Pillars/CH)

Dose [mJ/cm²] 57 45 39 Dose [mJ/cm²] 66 65 53

LWRunb [nm] 3.1 3.0 2.9 LCDU [nm] 2.7 2.2 2.1

Z-factor [10-6mJ nm³] 1.2 0.9 0.7 Z-factor [10-6mJ nm³] 3.8 2.5 1.9

Lines and Spaces Contact Holes


4
Z-Factor [10-6mJ nm³]

Z-Factor [10-6mJ nm³]


*Z-factor = Res3 x LWR2 x Dose P40 CH
3 3
Z-factor comparison only valid at
P32 LS
2 equal contrast 2
All data exposed with NA=0.33 scanner
1 Non CAR CAR 1
P26 LS
0 0
2012 2013 2014 2015 2016 2017 2018 2019 2020 2018 2019 2020

1Resist system: CAR Chemical Amplified resist Public


Continuous resist improvement for multiple use cases
Progress is needed moving forward Slide 16
EUVL Sep 2020

2018 2019 2020 2018 2019 2020


Resist type1 Non-CAR Non-CAR Non-CAR Resist type1 Non-CAR Non-CAR CAR
EUVJ-2107 EUVJ-2107
HP12nm Lines and Spaces
Resolution: Resolution:
(P26 Linesand Spaces) (P40 Hexagonal Pillars/CH)
Non-CAR CAR

Dose [mJ/cm²] 57 45 39 Dose [mJ/cm²] 66 65 53

LWRunb [nm] 3.1 3.0 2.9 LCDU [nm] 2.7 2.2 2.1

Z-factor [10-6mJ nm³] 1.2 0.9 0.7 Z-factor [10-6mJ nm³] 3.8 2.5 1.9

Lines and Spaces Contact Holes


4
Z-Factor [10-6mJ nm³]

Z-Factor [10-6mJ nm³]


*Z-factor = Res3 x LWR2 x Dose P40 CH
3 3
Z-factor comparison only valid at
P32 LS
2 equal contrast 2
All data exposed with NA=0.33 scanner
1 Non CAR CAR 1
P26 LS
0 0
2012 2013 2014 2015 2016 2017 2018 2019 2020 2018 2019 2020

1Resist system: CAR Chemical Amplified resist Public


PSI High-NA resist evaluation for lines and pillars/CH
Slide 17
EUVL Sep 2020

Resolution [hp] 13nm 12nm 11nm 10nm 9nm 8nm

Inorganic Resist 62 mJ/cm² 58 mJ/cm² 75 mJ/cm² 59 mJ/cm² 82 mJ/cm²

Chemically Amplified
Resist (CAR) 58mJ/cm²
58 mJ/cm² 52 mJ/cm²
1.7nm

Resolution [hp] 24nm 22nm 20nm 18nm

Inorganic Resist 22 mJ/cm² 19 mJ/cm² 33 mJ/cm²

Chemically Amplified
Resist (CAR) 16 mJ/cm² 17 mJ/cm² 21 mJ/cm²

Ongoing imaging benchmarking of High-NA tools (PSI, BMET5, NXE)


Reference:
Contrast-loss investigation for the charactierization of resist and exposure tool performances Timothée Allenet, SPIE-AL, 11517-16 Public
CXRO/LBNL shows resist capability for Lines and Pillars
Slide 18
EUVL Sep 2020
Resolution (nm)
Resist & Feature Illumination 11nm 10nm 9nm 8nm
Inpria Resist F2X frequency
Lines and Spaces doubling

13nm 12nm 11nm 8nm


Inpria Resist F2X frequency
Regular Pillars doubling

14P28 14P35
Inpria Resist
SMO
Tip-2-Tips

13nm 12nm
CAR
Lines and Spaces MP

C. Anderson et al., CXRO, SPIE 2020 Public


Slide 19
EUVL Sep 2020

Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary

Public
High-NA system architecture finalized Improved Source position
Allows for larger transmission, Slide 20
Lens & illuminator compatible with 0.33 NA EUVL Sep 2020
Mask Stage
• NA 0.55 for high contrast
4x increase in acceleration
• High transmission

New Frames
Improved metrology Wafer Stage
Improved thermal and dynamic
2~3x improvement in overlay/focus 2x increase in acceleration
control with larger optics
Public
EUV High-NA requires an anamorphic lens
Slide 21
SPIE Febr. 2020
0.33NA
Mask MoSi Multilayer 0.33NA
0.55NA iso
0.55NA iso
0.55NA ana
~18deg 0.55NA ana
~9deg
~11deg
ML reflection
0.7 0.55NA

16.5 mm
0.6
Reflection

0.5 QF
0.4 0.55NA prints
11 deg required
0.3
for 0.33NA
~8deg a Half Field
0.2
0.1
0
104 mm 4x/8x
0 5 10 15 20
26 mm
Angle of incidence [deg]
132 mm

Mask 0.33NA prints a


Wafer

33 mm
Full Field
Note: for simplicity M3D effects
are ignored, only multi-layer 4x
effect taken into account
0.33NA Public
High-NA brings throughput >185 wph
Fast stages enable high throughput Slide 22
SPIE Febr. 2020

Throughput for various source


powers and doses
HF
200
High-NA WS 2x, RS 4x
180
WS, RS current

Throughput [300/hr]
160
0.33NA performance
Acceleration of mask stage ~4x.. 140

120
FF
100

80
300W Watt
60
20mJ/cm²
40

20

0
0 5 10 15 20 25 30 35
Source Power/Dose [W/(mJ/cm²]
Acceleration of wafer stage ~2x..
Public
Recent EUV power improvement allows higher dose
Servicing concept for high-NA identical to 0.33NA source Slide 23
SPIE Febr. 2020

Continuous source improvements:


• Allow for more throughput
• Allow for more dose
500 • Improved Local CDU Future target

400
Development

Source power [W]


300 Target

200 Production

100

0
Modularity of the vessel reduces repair times 2008 2010 2012 2014 2016 2018 2020 2022 2024

Public
High-NA projection optics design available
Public
Larger elements with tighter specifications Slide 24
SPIE 2019
Extreme aspheres enabling
further improved wavefront / imaging performance

Mask level Obscuration enables


higher optics Transmission
Big last mirror driven by
High-NA
High NA optics design supports
significant reduction in
wavefront RMS

rms [nm]
High NA

Wafer level Design examples


NXE:3300B NXE:3350B NXE:3400B
NA 0.33 NA 0.55 systems
Public
Full wafer and tool-to-tool CD control well below 10% CD
Balanced performance budgets for many different use-cases and pupils Slide 25
EUVL Sep 2020

CDU
Proximity matching

12.0%

10.0%
CD error [%]

8.0%

6.0%

4.0%

2.0%

0.0%

Public
Slide 26
EUVL Sep 2020

Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary

Public
Top Module Shift-in Shift-out test successful
18 tons moves at mm-level accuracy Slide 27
EUVL Sep 2020

Public
Reticle stage short stroke module manufacturing progressing
Slide 28
EUVL Sep 2020

Manufacturing of Finalized First Reticle Stage


cooling channels bottom plate short stroke

Public
Long stroke motor mask stage risk build-down
Slide 29
EUVL Sep 2020

- modeled
- measured

Moving at NXE:3400 profile Moving at EXE:5000 profile (4x) Model verification

Public
Wafer stage cable slab guiding concept in test
Dynamical testing ok Slide 30
EUVL Sep 2020

Slab test Y-axes Slab test X-axes Crash test

Public
Wafer stage modules being manufactured
Slide 31
EUVL Sep 2020

Wafer stage Wafer stage position Proto Actuator Coils Mirror block manufacturing started
design ready module manufactured for testing

Public
First images taken with High-NA ILIAS proto sensor
New ILIAS-sensor with improved marks for High-NA Slide 32
EUVL Sep 2020

High-NA ILIAS proto sensor


installed in NXE:3400 WS

first image
0.2

0.1

0.0

-0.1

-0.2 First aberration


scan results (@ 0.33NA )
Zernike #
-0.3

Public
Good progress with EXE frame development
Large frames modeled, improved welding Slide 33
EUVL Sep 2020

34x ! Ensure impact


of Main Frame on
POB in spec
~5cm

Traditional welding:
4 weeks / frame

Frame
time
construction
(welding)

Robotized E-beam welding:


3 days / frame

Public
Bottom Base Frame manufacturing has started
Slide 34
EUVL Sep 2020

System for final machining

• Sub blocks pre-machined Pre-machining started April ‘20

• Welded to one piece with Electron Beam welding


• After welding the total frame is fine machined on large milling center
• Final machining planned later this year

Public
Top Frame machining on track
Status May 2020 Slide 35
EUVL Sep 2020

Phase 1 (May 2020):


top frame mono rough machining

Above is a picture of the first top


frame being prepped for
rough machining

Public
First mirror ground
At this moment many more mirror in manufacturing Slide 36
EUVL Sep 2020

Phase 1 (May 2020):


top frame mono rough machining

Public
EXE:5000 mirror metrology is operational
Measuring a 1000kg anamorphic mirror with picometer accuracy Slide 37
EUVL 2020

Mirror flatness
measurement

Mirror storage Port outer handling Mirror transfer robot (2) Load lock Vacuum chamber Service and
(measure cell) maintenance station
Mirror transfer robot (1) Thermalization room Mirror transfer system

Setup station Outer Handling Vacuum Chamber Assembly & Qualification Location
Public
Mirror Metrology results
Slide 38
EUVL Sep 2020

High-NA metrology 3400 metrology difference

1st crosscheck with


known NXE:3400 mirror
- = 12.4 nm RMS
(w/o Z1-Z4)

High-NA mirror 1st polishing loop

Part corrected during


1st polishing loop

Public
Reproducibility tests
Air turbulences successfully eliminated by transition to vacuum Slide 39
EUVL Sep 2020

AIR VACUUM
Same scale

Further improve dynamics effects by

Better mounting

Rigid body movement correction

Optimizing exposure time and


number of images

Air turbulences Tilts due to rigid body vibrations

Public
EXE:5000 system global design completed
Solid progress on system design and optics development and – manufacturing Slide 40
EUVL Sep 2020

Mirror manufacturing
started Full-scale High-NA
mirror ready for
Zeiss Oberkochen polishing

CZO facility

Building the optics


assembly hall
High-NA optics metrology equipment
in cleanroom at Zeiss SMT

Public
High-Na cleanroom constructions in progress
Slide 41
EUVL Sep 2020

Wilton Veldhoven Current state

High-NA Cabins to be
delivered according plan

Wilton Factory EXE:5000 Addition

Public
Slide 42
EUVL Sep 2020

Outline
High-NA agenda
• Why High-NA
• Infrastructure
• Architecture
• High-NA manufacturing
Summary

Public
Summary
Slide 43
EUV 0.33NA is used in volume production, adoption continues to grow EUVL Sep 2020

• Adoption continues to grow to reduce pattering complexity and cost


• Used for 7nm and 5nm Logic nodes, 10nm-class DRAM
• Productivity is improving to beyond 3000 WpD capability

High-NA is logical roadmap extension by improving contrast


• LCDU and defect print rate reduced by improved contrast, dose and resist
• Contrast is improved by a new anamorphic lens; imaging well controlled
• Throughput at higher dose maintained by transmission and source power

High-NA EUV Scanner realization is in full progress


• Feasibility of stages, sensors and many more demonstrated
Resist

• System design available, manufacturing of modules ongoing


• Optics design finalized, mirror metrology in place,
manufacturing has started
Public
Summary
aerial image intensity
Slide 44
EUV 0.33NA is used in volume production, adoption continues to1.0
grow EUVL Sep 2020

Aerial image [a.u.]


• Adoption continues to grow to reduce pattering complexity and cost
0.8

• Used for 7nm and 5nm Logic nodes, 10nm-class DRAM 0.6

• Productivity is improving to beyond 3000 WpD capability 0.4

0.2

High-NA is logical roadmap extension by improving contrast


0.0
0 20 40
• LCDU and defect print rate reduced by improved contrast, dose and resist10X position [nm] 30
• High contrast puts
Contrast is improved by a new anamorphic lens; imaging well controlled
• Throughput at higher dose maintained by transmission and source power
the photons where you need them
High-NA EUV Scanner realization is in full progress
• Feasibility of stages, sensors and many more demonstrated
Resist

• System design available, manufacturing of modules ongoing


• Optics design finalized, mirror metrology in place,
manufacturing has started
Public
Thank you for your
Special thanks goes to:
attention •

Jara Garcia Santaclara
Joost van Bree
• Gunes Nakiboglu
• Pieter de Groot
• Jason Steward
• Joost van Bree
• Chrysostomos Batistakis
• Bernardo Oyarzun
• Michael Renders
• Rob van Ballegoij
• Claire van Lare
• Rik Hoefnagels
• Lidia van Lent
• Zuhal Tasdemir, PSI
• Patrick Naulleau, CXRO
• Chris Anderson, CXRO
• Bram Slachter
• Gijsbert Rispens
• Gerardo Bottiglieri
• Jeannot Driedonkx
This work was funded by the European Commission and the Federal Ministry of Education and Research (Germany) by the ECSEL JU Grant
Agreement SeNaTe (662338, FKz 16ESE0036K), TAKE5 (692522, FKz 16ESE0072K), TakeMi5 (737479), and TAPES3 (783247, FKz 16ESE0287K).
And the High-NA teams in Wilton,
San Diego, Oberkochen, and Veldhoven

Public

You might also like