You are on page 1of 57

BÀI CHUẨN BỊ THÍ NGHIỆM 0

GIỚI THIỆU

Name: Date: Class:

I. Cài đă ̣t và sử dụng phần mềm Proteus


Phần mềm vẽ Proteus là phần mềm vẽ mạch điện tử được phát triển bởi công ty
Lancenter Electronics. Phần mềm có thể mô tả hầu hết các linh kiện điện tử thông dụng
hiện nay. Vì vậy trong phần thí nghiệm của môn Kỹ thuật số, sinh viên cần phải hiểu rõ
nguyên lý sơ đồ mạch của từng bài thí nghiệm bằng cách sử dụng phần mềm Proteus để
thực hiện mô phỏng trước các mạch này trước khi tiến hành thực hiện bài thí nghiệm.
1. Cài đặt phần mềm Proteus
Phần mềm Proteus hiện nay khá phổ biến với nhiều người dùng đặc biệt là các kỹ sư
điện tử, vì vậy tài liệu hướng dẫn cài đặt Proteus có khá nhiều trên các diễn đàn điện -
điện tử. Sinh viên có thể tìm kiếm trên Google hoặc Youtube các tài liệu hướng dẫn hay
các Video cài đặt một cách dễ dàng.
2. Hướng dẫn sử dụng phần mềm Proteus
Các bước thực hiện:
Bước 1: Tạo project, chuẩn bị tên các linh kiện cần dùng cho bài thí nghiệm.
Bước 2: Lấy các linh kiện từ thư viện của Proteus.
Bước 3: Đưa linh kiện ra màn hình thiết kế, bố trí, sắp xếp lại linh kiện cho hợp lý
và nối dây.
Bước 4: Kiểm tra sơ đồ nguyên lý và tiến hành mô phỏng.

II. Bài thử nghiệm mẫu làm quen phần mềm Proteus
Thiết kế mạch để khảo sát cổng NOT
1. Tạo project, chuẩn bị tên các linh kiện cần dùng cho bài thí nghiệm
Sau khi mở phần mềm Proteus, sinh viên sẽ tạo một (project) cho riêng mình. Sau
đây là từng bước để tạo một project:

 Bước 1: Trên bảng chọn để bắt đầu quá trình tạo project.

Thí nghiệm Kỹ thuật số Bộ môn Điện Tử Page | 1


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 1: Tạo một project mới cho Proteus

 Bước 2: Đặt tên project và thiết lập đường dẫn đến thư mục làm việc của project.
Trong bước này sinh viên có thể đặt tên cho project của mình, và thiết lập đường
dẫn đến thư mục làm việc của project.
Trong hình bên dưới thiết lập project tên là “test01” và đường dẫn đến thu mục làm
việc của project là “C:\Users\Admin\Documents”. Sinh viên hoàn toàn có thể thay đổi
thiết lập này nếu muốn.

Hình 2: Đặt tên và tạo đường dẫn cho một project mới của Proteus

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 2


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Sau đó nhấn để tiếp tục sau khi thiết lập đường dẫn và tên project.
 Bước 3: Chọn kích thước cho bảng vẽ.
Trong bước này chúng ta có thể chọn được kích thước cho bảng vẽ của mình, mặc
định của Proteus là bảng vẽ DEFAULT như hình bên dưới (sinh viên có thể tùy chọn
kích thước khác nếu muốn).

Hình 3: Tạo kích thước bản vẽ của Proteus

 Bước 4: Tạo PCB Layout.


Sau đó nhấn để tiếp tục đến phần tạo PCB Layout. Do mục đích của chúng
ta chỉ là mô phỏng nên sinh viên có thể bỏ qua bước tạo này bằng cách chọn phần “Do
not create a PCB layout” và nhấn để tiếp tục.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 3


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 4: tạo PCB Layout cho một project mới của Proteus
Ở phần Firmware chúng ta chọn ô “No Firmware Project” để bỏ qua phần này và
nhấn , bởi vì chúng ta không sử dụng bất kì Firmware nào trong các bài thử
nghiệm.

Hình 5: tạo Firmware Project cho Proteus

 Bước 5: Kiểm tra bảng tóm tắt và hoàn tất tạo project.
Đến đây một giao diện tóm tắt về thông tin của project xuất hiện như hình bên dưới,
nhấn để hoàn thành quá trình.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 4


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 6: Bảng tóm tắt thông tin Project của Proteus.


Đến đây một giao diện tóm tắt về thông tin của project xuất hiện như hình bên dưới,
nhấn để hoàn thành quá trình.
Sau khi chúng ta hoàn tất tạo một project, Proteus sẽ hiển thị giao diện để người
dùng có thể thiết kế bảng mạch của mình:

Hình 7: giao diện thiết kế của Proteus.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 5


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

2. Lấy các linh kiện từ thư viện của Proteus


 Bước 1: Mở cửa sổ thư viện linh kiện.
Để chọn mở thư viện linh kiện của Proteus, đầu tiên chúng ta nhấn vào nút
(Component Mode). Sau đó nhấn vào biểu tượng để mở thư viện linh kiện.

Hình 8: Cách mở thư viện linh kiện của Proteus.


Ngoài ra, sinh viên cũng có thể mở nhanh thư viện linh kiện của Proteus bằng cách
nhấn phím “P” trên bàn phím.
 Bước 2: Tìm kiếm linh kiện trong thư viện của Proteus.
Khi thư viện được mở ra, một cửa sổ sẽ xuất hiện như sau:

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 6


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 9: Thư viện linh kiện Proteus.


Trong đó:
Keywords: Tên linh kiện cần tìm kiếm.
Category và Sub-category: chứa các thư viện linh kiện trong chương trình Proteus.
Results: hiển thị các linh kiện khi được chọn trong thư viện.
Schematic Review: hiển thị hình dạng của linh kiện.
PCB Preview: hiển thị sơ đồ chân PCB của linh kiện.
Trong cửa sổ Keywords, sinh viên điền tên linh kiện mà bài thí nghiệm yêu cầu, từ
đó kết quả sẽ được hiện ra ở cửa sổ Results.
Ví dụ: Trong bài thí nghiệm của chúng ta cần ít nhất ba linh kiện để khảo sát bao
gồm: 1 cổng NOT 74LS04, một cổng trạng thái ngõ vào (LOGICSTATE) và 1 cổng trạng
thái ngõ ra (LOGICPROBE). Chúng ta hãy nhập tên của các cổng này vào cửa sổ
Keywords. Sau đó chúng ta nhấp đôi chuột vào linh kiện được hiễn thị ở cửa sổ Results.
Lúc này, những linh kiện này đã được thêm vào trong cửa sổ DEVICES.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 7


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Sau khi đã lấy đầy đủ các linh kiện từ thư viện, sinh viên nhấp vào nút OK để đóng
cửa sổ thư viện trở về màn hình thiết kế.
Chú ý: Sinh viên có thể tham khảo tên các linh kiện trong thư viện Proteus được sử
dụng cho các bài thí nghiệm tại phần phụ lục.
3. Đưa linh kiện ra màn hình thiết kế, bố trí, sắp xếp lại linh kiện cho hợp lý
và nối dây
 Bước 1: Đưa linh kiện trong cửa sổ DEVICES ra màn hình thiết kế.
Nhấp chuột trái vào linh kiện cần lấy trong ô DEVICES, sau đó di chuyển con
trỏ ra ngoài màn hình thiết kế nơi cần đặt linh kiện và nhấp chuột trái thì linh
kiện sẽ được đặt tại đó.
Sinh viên di chuyển hết linh kiên ra ngoài màn hình thiết kế như hình sau:

 Bước 2: Bố trí linh kiện.


- Di chuyển linh kiện:
Để di chuyển linh kiện từ vị trí này đến vị trị khác, chúng ta làm như sau:
Nhấp và giữ trái chuột vào linh kiện cần di chuyển, sau đó di chuyển chuột đến vị trí
mới và thả chuột ra. Ngoài ra chúng ta cũng có thể sử dụng chức năng Block Move trên
thanh công cụ.

Hình 10: Các nút chức năng dùng để di chuyển, xoay, xóa linh kiện.
- Xoay linh kiện:

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 8


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Để xoay các linh kiện chúng ta thực hiện như sau:


Đặt con trỏ lên linh kiện cần xoay và nhấn phải chuột, chọn các lệnh xoay mà sinh
viên muốn. Ngoài ra sinh viên cũng có thể dùng công cụ Block Rotate trên thanh công cụ
để xoay linh kiện.

Hình 11: bảng chức năng khi nhấn phải chuột vào màn hinh thiết kế.

 Bước 3: Nối dây.


Sau khi lấy và sắp xếp các linh kiện theo mong muốn, chúng ta tiến hành nối các
chân linh kiện. chúng ta thực hiện như sau:
Đặt con trỏ trên chân linh kiện cần nối dây cho đến khi ô vuông màu đỏ xuất hiện
sau đó chúng ta nhấn chuột trái vào chân linh kiện và di chuyển chuột đến chân linh kiện
cần nối khác và nhấn chuột trái một lần nữa để kết thúc quá trình nối dây. Sinh viên thao
tác tương tự như vậy cho đến khi hoàn thành sơ đồ mạch.

Để xóa đường nối dây sai, chúng ta nhấp phải chuột trên đường dây nối và chọn
Delete Wire hoặc nhấn đôi chuột phải trên đường dây nối.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 9


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Ngoài ra, với trường hợp mạch thiết kế có quá nhiều linh kiện khiến cho việc nối
dây gặp khó khăn. Sinh viên có thể nối các linh kiện lại bằng cách gán Label cho từng
dây như ở hình sau:

Để thực hiện việc gán Label. Chúng ta chọn ở thanh bên trái màn hình và
nhấn vào dây chúng ta cần nối lại. (Lưu ý: 2 dây cần nối lại phải có tên giống nhau).
 Bước 4: Kiểm tra sơ đồ nguyên lý và tiến hành mô phỏng.
Kiểm tra sơ đồ mạch sau khi hoàn thành xong mạch thiết kế là rất quan trong. Vì
vậy sinh viên cần nhìn lại bảng vẽ của mình một lần nữa rồi bấm nút để bắt đầu mô
phỏng.

Khi chương trình mô phỏng bắt đầu khởi chạy sau khi nhấn nút . Sinh viên có

thể nhấn vào nút để thay đổi giá trị của nó.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 10


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

III. Giới thiệu Board DE2 của Altera và cài đặt phần mềm Quatus
II
1. Giới thiệu
Board Altera DE2 như Hình 12 được thiết kế với nhiều tính năng đa dạng dựa trên
số lượng lớn các ngoại vi nhằm phục vụ cho các nghiên cứu khác nhau (ví dụ như:
nghiên cứu và phát triển về các lĩnh vực luận lý số học (digital logic), tổ chức máy tính
(computer organization) và FPGA). Trên kit này, một FPGA CHIP họ Cyclone II được
tích hợp, và các ngõ vào/ra (IO) của Chip được kết nối với tất cả các CHIP khác trên kit
DE2 (như CHIP TV Decoder, Ethernet 10/100M Controller, SRAM..) nhằm giúp cho
người dùng có thể thay đổi các ứng dụng hay cấu hình nhằm mong muốn nhằm hướng
đến một ứng dụng cụ thể.

Hình 12: Kit Altera DE2


2. Thành phần

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 11


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Board DE2 cung cấp khá nhiều tính năng hỗ trợ cho việc nghiên cứu và phát triển,
dưới đây là thông tin chi tiết của một board DE2:
➢ FPGA:
- Vimạch FPGA Altera Cyclone II 2C35.
- Vi mạch Altera Serial Configuration – EPCS16.
➢ Các thiết bị xuất nhập:
- USB Blaster cho lập trình và điểu khiển API của người dùng; hỗ trợ cả 2 chế
độ lập trình JTAG và AS.
- Bộ điều khiển Cổng 10/100 Ethernet.
- Cổng VGA-out.
- Bộ giải mã TV và cổng nối TV-in.
- Bộ điều khiển USB Host/Slave với cổng USB kiểu A và kiểu B.
- Cổng nối PS/2 chuột/bàn phím.
- Bộ giải mã / mã hóa âm thanh 24-bit chất lượng đĩa quang với jack cắm line-
in, line-out, và microphone.
- 2 Header mở rộng 40-pin với lớp bảo vệ diode.
- Cổng giao tiếp RS-232 và cổng nối 9-pin.
- Cổng giao tiếp hồng ngoại.
➢ Bộ nhớ:
- SRAM 512-Kbyte.
- SDRAM 8-Mbyte.
- Bộ nhớ Flash 4-Mbyte (1 số mạch là 1-Mbyte).
- Khe SD card.
➢ Switch, các đèn led, LCD, xung clock
- 4 nút nhấn, 18 nút gạt.
- 18 LED đỏ, 9 LED xanh, 8 Led 7 đoạn.
- LCD 16x2.
- Bộ dao động với tần số 50-MHz và 27-MHz.
Một số điểm lưu ý trong quá trình sử dụng Kit DE2 được mô tả như sau:
- Chỉ sử dụng nguồn 12 VDC.
- Chốt sử dụng cho lập trình (RUN/PROG Switch for JTAG/AS Modes) nên
được thiết lập
- ở chế độ “RUN” trước khi sử dụng cho các thực nghiệm trong tài liệu này.
- Chỉ dùng cổng USB Blaster (Sát bên cổng nguồn) để kết nối máy tính và lập
trình.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 12


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

3. Một vài ứng dụng của board DE2


➢ Ứng dụng làm TV box

Hình 13: TV Box


➢ Chương trình vẽ bằng chuột USB (paintbrush)

Hình 14: Chương trình vẽ (paintbrush)


➢ Máy hát Karaoke và máy chơi nhạc SD

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 13


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 15: Máy hát Karaoke và máy chơi nhạc từ card SD


4. Cài đă ̣t và sử dụng phần mềm Quatus II
Phần mềm Quartus II được phát triển bởi công ty Altera là một trong những phần
mềm đi kèm với các thiết bị phần cứng của công ty này nhằm tạo nên giao diện tương tác
giữa người dùng và phần cứng (Kit). Người dùng có thể tải các phiên bản tại Website của
công ty Altera (https://www.altera.com) và nhận được bản quyền (license) miễn phí dành
cho người đọc sau khi hoàn tất các thủ tục đăng ký trên Website này. Các giao diện và
cửa sổ cơ bản của phần mềm được trình bày như Hình 5. Người dùng muốn tìm hiểu kỹ
hơn về phiên bản đang sử dụng có thể truy cập vào thanh công cụ hỗ trợ (“help”) trên
giao diện.

Hình 16: Giao diện cơ bản Tool Quartus II

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 14


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

 Cài đă ̣t phần mềm Quartus II


Phần mềm Quartus II được phát triển bởi công ty Altera là một trong những phần
mềm đi kèm với các thiết bị phần cứng của công ty này nhằm tạo nên giao diện tương tác
giữa người dùng. Người dùng có thể tìm kiếm trên Google hoặc Youtube các tài liệu
hướng dẫn hay các Video cài đặt một cách dễ dàng.
 Hướng dẫn sử dụng phần mềm Quatus II
Các bước cần thực hiện:
Bước 1: Tạo project, viết code VHDL của mạch cần thiết kế.
Bước 2: Mô phỏng code VHDL (Verification Tools of Quartus / ModelSim) để
kiểm chứng chức năng của mạch.
Bước 3: Tổng hợp code VHDL sử dụng công cụ của Altera – Quartus.
Bước 4: Sử dụng công cụ Programmer của Quartus để thực hiện mạch trên FPGA.
Bước 5: Sử dụng các ngoại vi (switch, nút nhấn, LED,…) để thay đổi ngõ vào và
quan sát các ngõ ra trên Kit DE2.

IV. Bài thử nghiệm mẫu làm quen phần mềm Quatus II
Thiết kế mạch điều khiển LED sử dụng các Switch trên kit FPGA DE2
1. Tạo project
Sau khi mở phần mềm Quartus, người dùng sẽ tạo một dự án (project) cho riêng
mình. Sau đây là từng bước để tạo một dự án:
 Bước 1: Trên thanh menu chọn File/New Project Wizard (như Hình 17) để
bắt đầu quá trình tạo project.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 15


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 17: Tạo một dự án mới


Sau đó một giao diện giới thiệu như Hình 18 xuất hiện nhấn Next để tiếp tục.

Hình 18: Giao diện giới thiệu

 Bước 2: Đặt tên project và thiết lập đường dẫn đến thư mục làm việc của
project.
Trong bước này bạn có thể đặt tên cho project của mình, và thiết lập đường dẫn đến
thư mục làm việc của project.
Trong Hình 19 thiết lập tên project là “test01” và đường dẫn đến thu mục làm việc
của project là “C:\altera\Project”. Bạn hoàn toàn có thể thay đổi thiết lập này nếu muốn.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 16


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 19: Nhấn Next để tiếp tục sau khi thiết lập đường dẫn và tên dự án

 Bước 3: Add Files


Trong bước này bạn có thể add thêm các file thiết kế trước đó nếu muốn (Lưu ý các
file này có thể add thêm vào project sau khi bạn hoàn thành quá trình tạo project). Nhấn
Next để tiếp tục quá trình.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 17


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 20: Add file

 Bước 4: Chọn device cho project muốn thiết kế.

Hình 21: Chọn họ và mã chip FPGA


Trong phần Family chọn dòng “Cyclone II” và trong phần Available device tìm và
chọn dòng “EP2C35F672C6” như Hình 21.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 18


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Mã chip“EP2C35F672C6” là mã của dòng chip được thiết kế trên kít, mã này có ghi
trên chip FPGA của kít mà người dùng sử dụng. Nếu người dùng sử dụng kít khác thì
phải xem họ của chip FPGA và mã tương ứng.
Người đọc lưu ý phần mềm Quartus II là phần mềm dùng chung cho tất cả các chip
FPGA, việc chọn họ và mã tùy thuộc vào đối tượng ghip FPGA mà người dùng muốn sử
dụng trên kít.
đây, Hình 11 sẽ giải thích ý nghĩa của mã chip “EP2C35F672C6” mà chip này đang
được tích hợp trên kit Altera-DE2:
- EP2C: Mã cho họ Cyclone.
- 35: 35000 thành phần Les.
- F: Kiểu FPGA.
- 672: Số chân của FPGA CHIP.
- C: Tầm nhiệt độ.
- 6: Tốc độ.
Sau khi chọn device cho project nhấn Next để tiếp tục quá trình. Một giao diện thiết
lập EDA tool như Hình 23 xuất hiện chọn Next để tiếp tục. Đến đây một giao diện tóm
tắt về thông tin của project xuất hiện như Hình 24 nhấn Finish để hoàn thành quá trình.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 19


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 22: Giải thích mã FPGA CHIP học Cyclone II

Hình 23: Thiết lập cho EDA tool

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 20


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 24: Tóm tắt thông tin Project


• Bước 5: Tạo file thiết kế cho project
Trên thanh menu chọn File -> New như Hình 25. Một hộp hội thoại được mở ra,
trong phần Design File chọn VHDL File cho định dạng file thiết kế bằng ngôn ngữ
VHDL như Hình 26 (hoặc Verilog HDL File cho định dạng file thiết kế bằng ngôn ngữ
Verilog). Nhấn OK để hoàn thành quá trình tạo file.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 21


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 25: Tạo file thiết kế

Hình 26: Chọn định dạng VHDL/ Verilog file cho thiết kế
• Bước 6: Viết code chương trình cho thiết kế bằng ngôn ngữ VHDL (hoặc Verilog)

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 22


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Đoạn code sau sử dụng ngôn ngữ VHDL để kết nối SW thứ 0 và thứ 1 trên kit DE2
đến các LEDR thứ 0 và thứ 1 thông qua FPGA
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity test01 is
port (
SW: in std_logic_vector (1 downto 0) ;
LEDR : out std_logic_vector (1 downto 0)
);
end entity;

architecture behavior of test01 is


begin
LEDR (1 downto 0) <= SW (1 downto 0) ;
end architecture;

Nếu sử dụng ngôn ngữ Verilog, chương trình có nội dung như sau:
module test01 (SW, LEDR);
input[1:0] SW;
output[1:0] LEDR;

assignLEDR = SW;

endmodule

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 23


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 27: Soạn thảo chương trình bằng ngôn ngữ VHDL
Sau khi hoàn thành thiết kế chọn File-> Save As để lưu file thiết kế. Lưu ý tên của
file thiết kế phải trùng với tên của project và file thiết kế phải cùng chung thư mục với
project như Hình 28.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 24


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 28: Lưu chương trình và đổi tên file giống tên project

 Bước 7: Tiến hành gán pin cho thiết kế bằng cách import Assignments
Bước này giúp phần mềm hiểu được các tên khai báo ngõ vào và ngõ ra. Trong ví
dụ này phần mềm hiểu ngõ vào được đặt tên “SW” sẽ trùng với tên ngõ vào “SW” của
FPGA CHIP (Tham khảo bảng file bảng tên chân - 01_DE2_Pin_Table.pdf) và ngõ ra
LEDR cũng tương tự. Nếu không có bước này khi chương trình được nạp lên kit sẽ
không báo lỗi nhưng chương trình sẽ không chạy được.
Trên thanh menu chọn Assignments -> Import Assignments như Hình 29.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 25


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 29: Tìm nạp file gán chân bằng công cụ Assigments
Một giao diện gán pin xuất hiện như Hình 30, chọn file gán pin để import.
Trong ví dụ này sẽ chọn file “03_DE2_pin_assignments” đi kèm với bộ tài liệu.

Hình 30: Giao diện gán pin từ file

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 26


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Trường hợp người dùng thích đặt tên ngõ vào và ngõ ra không trùng với tên của các
chân quy định cho FPGA CHIP, người dùng phải gán chân bằng cách vào
Assignments/Assignment Editor như Hình 31. Một giao diện chỉnh sửa xuất hiện như
Hình 32, trong này cột “To” là tên đã được gán sẵn trong file
“DE2_pin_assignments.csv” được add vào ở bước trên có thể sửa tên trong cột này thành
tên trùng với tên tín hiệu trong file thiết kế.Tuy nhiên, cách này không được khuyến
khích vì vấn đề thời gian, không đồng nhất tên gọi dễ gây nhầm lẫn và gây lỗi. Bước gán
chân cần được thực hiện trước khi biên dịch và nạp chương trình ở các bước tiếp theo sau
đây.

Hình 31: Tiến hành edit Assignment pin

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 27


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 32: Giao diện edit Assignment pin

 Bước 8: Tiến hành biên dịch chương trình


Để tiến hành biên dịch chương trình chọn nút Start Compilation như Hình 33 hoặc
chọn Processing -> Start Compilation.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 28


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 33: Tiến hành biên dịch chương trình bởi tác vụ nút Start Compilation
Quá trình biên dịch sẽ được tiến hành giống Hình 34. Sau khi biên dịch thành công
chương trình sẽ thông báo như Hình 35 trong đó chỉ có Warning và Info messages.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 29


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 34: Tiến trình biên dịch chương trình

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 30


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 35: Biên dịch thành công (chỉ có Warning và Info messagess)
Đối với các thiết kế đơn giản và trong tài liệu này, người dùng có thể không cần
quan tâm đến các báo cáo kiểu “Warning”. Tuy nhiên với các thiết kế có quy mô lớn hay
trong môi trường công nghiệp, tất cả các báo cáo “Warning” luôn được kiểm tra và rà
soát,một số lỗi tiềm ẩn sẽ được phát hiện thông qua loại báo cáo này. Nhìn cửa số biên
dịch “Compilation”, ý nghĩa các bước trong quá trình biên dịch được mô tả:
- Analysis & Synthesis: Phân tích lỗi cú pháp chương trình và biên dịch nội
dung chương trình sang dạng thông tin sao cho kit hiểu và chọn các LEs
cũng như các phần cứng sẵn có cần thiết.
- Filter: Tiến hành thực biên dịch tạo các dạng thông tin kết nối, tối ưu các tài
nguyên sử dụng để có số LEs hay các thành phần khác nhỏ nhất có thể.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 31


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

- Assembler: Tiến hành biên dịch dạng dạng file mà phần cứng hiểu để tạo
thiết kế cuối cùng. Quá trình hợp dịch dựa vào kết quả của quá trình Fitter sẽ
tạo ra hình ảnh của thiết kế, có thể trong các dạng sau:Programmer Object
Files (.pof), SRAM Object Files (.sof), Hexadecimal (Intel-Format) Output
Files (.hexout),Tabular Text Files (.ttf), and Raw Binary Files (.rbf),
- TimeQuest Timing Analysis: Bước này cần các thiết lập trước đó mà không
đề cập trong tài liệu. Bước này sẽ tạo ra các báo cáo giúp người dùng hình
dung được thiết kế của mình sẽ chiếm bao nhiêu tài nguyên, chạy được với
tần số tối đa là bao nhiêu,… Nói chung là những thông số liên quan đến
thuộc tính vật lý của thiết kế chứ không báo rằng thiết kế có chạy đúng hay
sai, có lỗi cú pháp hay không.
Nếu có lỗi cú pháp thì báo cáo kiểu “Error” sẽ được thể hiện ở Hình 36.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 32


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 36: Thiết kế có lỗi và người đọc phải sửa lỗi thông qua những báo cáo Error ở cửa sổ
“Type Message”

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 33


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 37: Báo cáo tổng kết sau khi hoàn tất việc biên dịch
Phân tích báo cáo thiết kế như Hình 37 thấy tài nguyên được sử dụng chỉ là 4 pins.
Không một tài nguyên nào khác được sử dụng vì đoạn code chỉ đơn thuần là nối ngõ vào
SW thứ 0 và thứ 1 vào ngõ ra LEDR thứ 0 và thứ 1. Sau khi biên dịch xong, người đọc có
thể xem thiết kế ở mức cổng bằng cách sử dụng công cụ “Tools/Netlist Viewers/RTL
Viewer” như Hình 38.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 34


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 38: Công cụ xem thiết kế ở mức cổng

Hình 39: Xem thiết kế ở mức cổng

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 35


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Ở đây, thiết kế chỉ đơn thuần nối trực tiếp ngõ vào đến ngõ ra nên không một cổng
logic hay FF nào được thấy ở kết quả Hình 39.
2. Thực hiện mô phỏng
Mô phỏng là một bước cần thiết để kiểm tra hành vi của thiết kế có đúng như mong
muốn của thiết kế hay không trước khi thực hiện đổ chương trình xuống kít.
Các bước sau đây mô tả việc thực hiện tạo giản đồ xung mô tả hành vi của thiết kế
để kiểm tra xem thiết kế có chạy như mong muốn hay không.
 Bước 1: Tạo file waveform
Trên thanh menu chọn File/new trong phần Verification/Debugging files chọn
Vector Waveform File để tạo file waveform như Hình 40.

Hình 40: Tạo file waveform

 Bước 2: Insert tín hiệu vào file waveform.


Bước này sẽ thêm các tín hiệu cần tiến hành mô phỏng vào file waveform, tiến hành
tạo dạng sóng đầu vào và quan sát kết quả dạng sóng đầu ra.
Trên thanh menu chọn Edit/Insert/Inset Node or Bus…như Hình 41.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 36


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 41: Thêm các tín hiệu cần mô phỏng


Một giao diện Insert Node or Bus xuất hiện như Hình 42.

Hình 42: Giao diện Insert Node or Bus

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 37


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Trên giao diện Insert Node or Bus chọn Node Finder… sẽ xuất hiện một giao diện
Node Finder như Hình 43, trong giao diện này ở trường Filter chọn Pins: assigned và
click chuột vào List để chương trình liệt kê các pin được gán trong thiết kế như Hình 44.
Trong danh sách này chọn tín hiệu muốn add vào waveform bên cột trái và add vào bên
cột phải như Hình 45. Tiếp theo nhấn OK -> OK như Hình 46 và Hình 47.

Hình 43: Giao diện Node Finder

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 38


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 44: List danh sách tín hiệu trong thiết kế

Hình 45: Chọn chính xác tín hiệu cần mô phỏng bằng cách đưa tín hiệu được liệt kê ở cửa
sổ bên trái qua bên phải bằng cách ấn vào biểu tượng

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 39


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 46: Chọn hết các tín hiệu muốn xem rồi nhấn OK
Chỉ xem những tín hiệu đầu vào và đầu ra

Hình 47: Chọn OK sau khi thiết lập các thông số

 Bước 3: Vẽ dạng sóng cho tín hiệu đầu vào


Sau khi thiết lập xong các tín hiệu mô phỏng sẽ xuất hiện trên waveform. Trên giao
diện này ta có thể vẽ dạng sóng cho từng tín hiệu bằng cách kéo chuột chọn đoạn tín hiệu
muốn set và chọn nó là giá trị 1 hay 0 trên thanh công cụ như Hình 48. Sau khi vẽ dạng
sóng các tín hiệu đầu vào cho quá trình mô phỏng hoàn thành lưu file lại cùng chung thư
mục với file project như Hình 49.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 40


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 48: Giao diện dạng sóng với tín hiệu ngõ vào SW

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 41


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 49: Lưu file waveform

 Bước 4: Thiết lập thời gian chạy mô phỏng


Trên thanh menu chọn Edit -> End Time như Hình 50 để tiến hành thiết lập thời
gian chạy mô phỏng cho project. Sau đó nhập thời gian chạy mô phỏng rồi nhấn OK
(Hình 51).

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 42


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 50: Thiết lập thời gian kết thúc mô phỏng

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 43


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 51: Nhập thông số thời gian kết thúc rồi chọn OK

 Bước 5: Tiến hành chạy mô phỏng


Trên thanh menu chọn Processing -> Generate Functional Simulation Netlist để
tạo ra Netlist function cho quá trình mô phỏng như Hình 52. Sau đó click chuột vào nút
Start Simulation để bắt đầu chạy mô phỏng như Hình 53.

Hình 52: Tạo Netlist cho mô phỏng

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 44


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 53: Bắt đầu chạy mô phỏng

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 45


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 54: Kết quả quá trình chạy mô phỏng


Hình 54 cho thấy tín hiệu ngõ ra LEDR theo đúng như tín hiệu ngõ vào SW. Điều
này đúng theo mong muốn thiết kế SW thứ 0 gán cho LEDR thứ 0 và SW thứ 1 gán cho
LEDR thứ 1.
3. Thực hiện nạp chương trình xuống kít
Tiếp theo, phần này sẽ hướng dẫn nạp chương trình xuống kít. Tuy nhiên, trước khi
nạp chương trình xuống kit, thì các kết nối giữa máy tính với kít thông qua cổng USB
Blaster được mô tả như Hình 55.

Hình 55: Kết nối kít với máy tính thông qua cổng USB Blaster

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 46


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Sau khi biên dịch hoàn tất, người dùng có thể nạp lên kit thông qua công cụ (Tool-
>Programmer) như Hình 56.

Hình 56: Công cụ nạp chương trình


Giao diện nạp lệnh như Hình 57 cần chú ý những điểm sau:
- Kiểm tra chính xác họ FPGA trên kit đang dùng.
- Mục “HardwareSetup” cần kiểm tra lại đã nhận “Driver” chưa. Nếu chưa
nhận sẽ hiện chữ “No Hardware”. Còn nếu nhận thì sẽ hiện chữ “USB-
Blaster”. Người dùng có thể sẽ cần dùng file “04_usb-blaster_driver” trong
gói tài liệu để cài driver cho máy tính của mình hoặc sử dụng mạng Internet
để kiểm tra và cài đặt.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 47


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 57: Giao diện nạp lệnh chưa nhận được USB

Hình 58: Giao diện nạp lệnh đã nhận được USB-Blaster


Sau khi đã nhận được USB, nhấn “Start” để bắt đầu nạp file lên kit và kiểm tra. Tuy
nhiên phải chú ý là báo cáo “100% (Successful)” phải được hiển thị như Hình 59 để đảm
bảo toàn bộ chương trình được nạp thành công.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 48


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Hình 59: Chương trình được nạp xuống thành công


Kết quả được khảo sát thông qua Hình 60. Khi SW thứ 0 bật lên thì đèn LEDR thứ
0 sáng và ngược lại cho SW thứ 1 và LEDR thứ 1. Các SW thứ 2 cho đến 17 được bật lên
nhưng đèn LEDR thứ 2 đến 17 không sáng vì trong đoạn mã chỉ khai báo sử dụng từ 0
đến 1.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 49


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Hình 60: Kiểm tra kết quả bằng các Switch và đèn LEDR

Phụ lục
Bảng tóm tắt các linh kiện sử dụng cho các bài thí nghiệm
ST Tên cổng trong thư Hình ảnh cổng trong
Tên cổng
T viện Proteus Proteus
Trạng thái ngõ vào
1 LOGICSTATE
logic
Trạng thái ngõ vào
2 LOGICTOGGLE
logic dạng toggle
Trạng thái ngõ ra
3 LOGICPROBE
logic

4 NOT 74LS04

5 AND 74LS00

6 OR 74LS32

7 XOR 74LS86

8 NAND 74LS00

9 NOR 74LS02

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 50


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

10 XNOR 74LS266

11 Tri-state 74LS125

12 Decoder 3 to 8 74LS138

Encoder Priority 8 to
13 74LS148
3

14 Multiplexer 8 to 1 74151

BCD to 7-Segment
15 74LS47
Decoder

16 4 bit comparator 74LS85

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 51


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

4 bit Binary Adder


17 74LS283
with Fast Carry

18 D Flip-flop 74LS74

19 JK Flip-flop 74LS112

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 52


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Ví dụ 1. Thiết kế bộ cộng 2 số 4 bit


Bước 1: Khởi động ứng dụng Proteus và tạo Project mới.
Bước 2: Xác định các linh kiện cần dùng và lấy các linh kiện này từ trong thư viện:
Để thiết kế một bộ cộng 2 số 4 bit ta cần các linh kiện sau:
ST Tên cổng trong thư Hình ảnh cổng trong
Tên cổng
T viện Proteus Proteus
Trạng thái ngõ vào
1 LOGICSTATE
logic
Trạng thái ngõ ra
2 LOGICPROBE
logic

4 bit Binary Adder


3 74LS283
with Fast Carry

Tiếp theo chúng ta cần lấy các linh kiện này từ trong thư viện của Proteus theo các
bước sau:
- Nhấn chuột phải vào màn hình thiết kế Place  Component  From
Libraries:

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 53


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

- Khi xuất hiện cửa sổ Pick Devices, chúng ta nhập lần lượt tên cổng và nhấn
đúp chuột vào linh kiện được hiển thị từ ô Results:

- Nhấn OK để quay lại màn hình thiết kế. Tại đây ở Tab chúng ta sẽ thấy
được các linh kiện mà chúng ta đã lấy trước đó:

Bước 3: Đưa linh kiện ra màn hình chính, bố trí và nối dây cho các linh kiện:

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 54


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Bước 4: nhấn nút để khảo sát mạch.


Ví dụ 2. Thiết kế bộ đếm lên sử dụng D-FlipFlop
Bước 1: Khởi động ứng dụng Proteus và tạo Project mới.
Bước 2: Xác định các linh kiện cần dùng và lấy các linh kiện này từ trong thư viện:
Để thiết kế một bộ bộ đếm lên sử dụng D-FlipFlop ta cần các linh kiện sau:
ST Tên cổng trong thư Hình ảnh cổng trong
Tên cổng
T viện Proteus Proteus
Trạng thái ngõ ra
1 LOGICPROBE
logic

2 D Flip-flop 74LS74

Cách lấy linh kiện từ trong thư viện tương tự như trong Ví dụ 1.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 55


BÀI THÍ NGHIỆM 0: GIỚI THIỆU

Bước 3: Đưa linh kiện ra màn hình chính, bố trí và nối dây cho các linh kiện:
Sau khi bố trí các linh kiện chúng ta được kết quả như hình sau:

Tuy nhiên mạch trên còn thiếu nguồn clock để mạch có thể hoạt động được. Vì vậy

để lấy nguồn clock chúng ta chọn ở thanh công cụ bên trái và chọn DCLOCK

Để thay đổi tần số của nguồn clock, chúng ta nhấn đôi chuột vào linh kiện và thay
đổi giá trị ở ô Frequency (Hz):

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 56


BÀI CHUẨN BỊ THÍ NGHIỆM 0
HƯỚNG DẪN SỬ DỤNG PHẦN MỀM PROTEUS VÀ
QUARTUS

Bước 4: nhấn nút để khảo sát mạch.

Thí nghiệm Kỹ thuật số Bộ môn Điện tử Page | 57

You might also like