You are on page 1of 11

materials

Article
Process Steps for High Quality Si-Based Epitaxial Growth at
Low Temperature via RPCVD
Jongwan Jung 1,2, *,† , Baegmo Son 3,† , Byungmin Kam 3,† , Yong Sang Joh 3 , Woonyoung Jeong 2 ,
Seongjae Cho 4 , Won-Jun Lee 2 and Sangjoon Park 3

1 Hybrid Materials Center (HMC), Sejong University, Seoul 05006, Korea


2 Department of Nano and Advanced Materials Science, Sejong University, Seoul 05006, Korea;
raehyang2@naver.com (W.J.); wjlee@sejong.ac.kr (W.-J.L.)
3 Wonik IPS, Pyeongtaek 17709, Korea; bmson@wonik.com (B.S.); bmkam@wonik.com (B.K.);
ysjoh@wonik.com (Y.S.J.); sangjoon.park@wonik.com (S.P.)
4 Department of Electronics Engineering, The Graduate School of IT Convergence Engineering,
Gachon University, Seongnam 13120, Korea; felixcho@gachon.ac.kr
* Correspondence: jwjung@sejong.ac.kr
† These authors contributed equally.

Abstract: The key process steps for growing high-quality Si-based epitaxial films via reduced pressure
chemical vapor deposition (RPCVD) are investigated herein. The quality of the epitaxial films is
largely affected by the following steps in the epitaxy process: ex-situ cleaning, in-situ bake, and
loading conditions such as the temperature and gaseous environment. With respect to ex-situ
cleaning, dry cleaning is found to be more effective than wet cleaning in 1:200 dilute hydrofluoric
acid (DHF), while wet cleaning in 1:30 DHF is the least effective. However, the best results of all are
 obtained via a combination of wet and dry cleaning. With respect to in-situ hydrogen bake in the

presence of H2 gas, the level of impurities is gradually decreased as the temperature increases from
Citation: Jung, J.; Son, B.; Kam, B.; 700 ◦ C to a maximum of 850 ◦ C, at which no peaks of O and F are observed. Further, the addition
Joh, Y.S.; Jeong, W.; Cho, S.; Lee, W.-J.;
of a hydrogen chloride (HCl) bake step after the H2 bake results in effective in-situ bake even at
Park, S. Process Steps for High
temperatures as low as 700 ◦ C. In addition, the effects of temperature and environment (vacuum or
Quality Si-Based Epitaxial Growth at
gas) at the time of loading the wafers into the process chamber are compared. Better quality epitaxial
Low Temperature via RPCVD.
Materials 2021, 14, 3733. https://
films are obtained when the samples are loaded into the process chamber at low temperature in a
doi.org/10.3390/ma14133733 gaseous environment. These results indicate that the epitaxial conditions must be carefully tuned
and controlled in order to achieve high-quality epitaxial growth.
Academic Editor: Matthieu Petit
Keywords: epitaxy; RPCVD; low temperature; Si; SiGe; impurity; in-situ cleaning; pre-cleaning;
Received: 5 June 2021 surface treatment
Accepted: 30 June 2021
Published: 3 July 2021

Publisher’s Note: MDPI stays neutral 1. Introduction


with regard to jurisdictional claims in The epitaxial growth of silicon, silicon-germanium (SiGe) layers on a silicon substrate
published maps and institutional affil- is a well-established technology for semiconductor fabrication, and has long been applied
iations. to the production of high-performance image sensors [1] and power devices [2]. Moreover,
the addition of phosphorus or boron precursors along with the Si precursor enables the
growth of Si:P or Si:B alloys with much higher P or B doping concentrations than can be
obtained via ion implantation [3–6]. In addition to Si, Group 4 elements such as Ge, C,
Copyright: © 2021 by the authors. etc. can be applied to stress engineering [7–10]. This enables a source/drain (S/D) strain
Licensee MDPI, Basel, Switzerland. engineering in which SiC epitaxy is used to increase electron mobility by providing tensile
This article is an open access article strain, while SiGe epitaxy is used to increase hole mobility by providing compressive
distributed under the terms and strain. Further, relaxed epitaxy technology can be used to grow Ge as a relaxed-Ge channel
conditions of the Creative Commons with very high hole mobility [11]. Currently, epitaxy technology is a key process for the
Attribution (CC BY) license (https://
continued scaling and increased functionality of integrated circuits. With respect to scaling,
creativecommons.org/licenses/by/
the currently used FIN field-effect transistor (FINFET) technology has been extended down
4.0/).

Materials 2021, 14, 3733. https://doi.org/10.3390/ma14133733 https://www.mdpi.com/journal/materials


ported the growth of crystalline Si or SiGe [18–24], there are a few reports on key pro
steps in low-temperature epitaxial growth via RPCVD. In addition, many reports u
dichlorosilane (DCS) as Si source have been performed at high temperature above 70
Materials 2021, 14, 3733 [18–23] due to poor chlorine (Cl) desorption of DCS at low temperature. Even 2 of 11though
order silane precursors can be used at lower temperature [24–27], a cyclic deposition
etch routine are needed for selective epitaxial growth (SEG), making DCS the most c
mon Si but
to 5 nm, precursor
the mostfor SEGstructure
suitable of Si and for SiGe.
the 3 toEpitaxial
2 nm scalegrowth
downwards is performed
is probably via
the severa
quential steps.FET
gate-all-around The(GAAFET)
first step[12,13].
is pre-treatment
A key processof forthe wafers, which
implementing usuallyisinvolve
the GAAFET
initial ex-situ
the stacked wet cleaning
epitaxial growth ofstep,
Si and after
SiGewhich the wafers
to construct are loaded
multi-layers into a load lock cham
of nanosheets.
of the RPCVD
The chemical system. From there,
vapor deposition the wafers
(CVD) processesare moved
used via a transfer
for epitaxial growth chamber
includes into ei
ultra-high vacuum CVD (UHVCVD) [14], reduced pressure CVD (RPCVD) [15,16], and
an additional in-situ cleaning chamber or directly into the process chamber, where a
atmospheric-pressure CVD (APCVD) [17]. Among these, RPCVD has the advantage of com-
pre-cleaning step such with
bining a high throughput as Hhigh
2 bake takes
quality place.While
epitaxy. Finally, the papers
several epitaxial havegrowth
reported occurs in
process
the growth chamber (Figure
of crystalline Si or 1).
SiGeEach of these
[18–24], process
there are steps on
a few reports needkeyto be well
process stepstuned
in to g
high-quality
low-temperature epitaxial
epitaxialfilms.
growthInvia particular,
RPCVD. Inthe mostmany
addition, representative
reports using interface
dichlorosi-impuritie
◦ [18–23]
Si and
lane SiGe
(DCS) asepitaxy
Si sourceare haveoxygen (O), carbon
been performed (C), temperature
at high and fluorine (F). 700
above The C presence of O a
due to poor chlorine (Cl) desorption of DCS at low temperature. Even though high order
interface of Si and epitaxial layer leads to staking faults in the Si film [28], while C l
silane precursors can be used at lower temperature [24–27], a cyclic deposition and etch
to the precipitation
routine of silicon
are needed for selective carbide
epitaxial and (SEG),
growth causesmakingdislocation
DCS the ormost
staking
commonfaults in th
lattice. Meanwhile,
Si precursor for SEG ofsurface-adsorbed
Si and SiGe. Epitaxial F is growth
unstable, such thatvia
is performed Si–F bonds
several are easily tr
sequen-
formed
tial steps.into
The Si–H–O
first step bonds during the
is pre-treatment extended
of the queueusually
wafers, which time under
involvesambient
an initialatmosph
thus
ex-situpromoting
wet cleaning re-oxidation
step, after whichafterthe
thewafers
surface arecleaning
loaded into [20,29].
a load lock chamber of
Therefore,
the RPCVD system.theFrom
use there,
of RPCVD equipment
the wafers are moved and viaprocesses that are free
a transfer chamber from impuri
into either
an additional in-situ cleaning chamber or directly into the process chamber, where a final
or at least suppress impurities as much as possible, are essential. In the present pa
pre-cleaning step such as H2 bake takes place. Finally, the epitaxial growth occurs in the
several key process
process chamber (Figuresteps are examined
1). Each usingsteps
of these process a 300 mmtocluster
need be wellRPCVD
tuned to system
grow at a
perature
high-qualityas epitaxial
low as 700 °C In
films. with DCS precursor.
particular, First, for theinterface
the most representative application of ex-situ
impurities in clean
wet
Si andcleaning withare
SiGe epitaxy dilute
oxygenhydrofluoric acidand
(O), carbon (C), (DHF), dry(F).
fluorine cleaning, and aofcombination
The presence O at the of
and dry cleaning are compared. Then the effects of in-situ bake with H2 at various
interface of Si and epitaxial layer leads to staking faults in the Si film [28], while C leads to
peratures, and of
the precipitation the effects
silicon of two-step
carbide and causes baking withorHstaking
dislocation 2 followed by HCl, are investiga
faults in the Si lattice.
Meanwhile, surface-adsorbed F is unstable, such that Si–F bonds are easily transformed
Finally, the effects of the temperature and environment of the process chamber at the
into Si–H–O bonds during the extended queue time under ambient atmosphere, thus
of loadingre-oxidation
promoting the wafersafter are the
examined.
surface cleaning [20,29].

RPCVD system

In-situ cleaning
chamber H2,HCl, DCS,GeH4

Ex-situ cleaning Load lock Transfer


(Wet, or Dry) Chamber
Process
chamber

Figure 1. Basic schematic of epitaxial process flow using a reduced pressure chemical vapor deposi-
Figure 1. Basic schematic of epitaxial process flow using a reduced pressure chemical vapor de
tion (RPCVD) tool.
sition (RPCVD) tool.
Therefore, the use of RPCVD equipment and processes that are free from impurities, or
at least suppress impurities as much as possible, are essential. In the present paper, several
key process steps are examined using a 300 mm cluster RPCVD system at a temperature
as low as 700 ◦ C with DCS precursor. First, for the application of ex-situ cleaning, wet
cleaning with dilute hydrofluoric acid (DHF), dry cleaning, and a combination of wet and
dry cleaning are compared. Then the effects of in-situ bake with H2 at various temperatures,
and the effects of two-step baking with H2 followed by HCl, are investigated. Finally, the
effects of the temperature and environment of the process chamber at the time of loading
the wafers are examined.
Materials 2021, 14, 3733 3 of 11

2. Experimental Details
The epitaxy equipment used in the present work is an industrial RPCVD tool with
a planetary reactor for the simultaneous loading of up to five 300 mm wafers on each
satellite mounted on a graphite susceptor (Wonik IPS Co., Pyeongtaek, Korea). The sus-
ceptor and satellites rotate in synchrony to provide epitaxially grown films with highly
uniform thicknesses. The precursors are injected through the shower heads in the middle
of the reactor and are discharged through the outlet at the edge of the susceptor. The
detailed reactor structure and modeling of the resulting CVD growth have been provided
in previous work [30,31]. Before loading the p-type (100) 300 mm wafers into the epitaxial
equipment, the wafers were cleaned with DHF (single wafer spin wet etcher, Apollon,
Zeus. Co., Hwaseong, Korea). Starting from a stock solution of 49% HF, two concentrations
of DHF solution were prepared in deionized (DI) water, namely 1:30 DHF/DI water (1.58%
DHF, 0.932 M) and 1:200 DHF/DI water (0.24% DHF, 0.143 M).
These solutions were compared for the pre-cleaning of the Si wafer. The cleaning
times were adjusted based on the thermal oxide etching of about 5 nm. After the spin
etching, the wafers were spin rinsed with DI water and then spin dried.
In addition, the ex-situ 1:200 DHF wet cleaning process was compared with dry
cleaning and with a combination of 1:200 DHF wet + dry cleaning. The ex-situ dry cleaning
process was performed using NF3 /NH3 plasma. The queue time in the atmosphere was
minimized after dry cleaning, the samples were loaded into the chamber, and Si epitaxial
films were grown under the same conditions as for the wet-cleaned wafer.
In another set of experiments, the effects of additional in-situ bake in the presence of
H2 only, or H2 followed by HCl were compared. The (100) Si wafers were first subjected
to ex-situ wet cleaning with 1:200 DHF or ex-situ dry cleaning. Unless otherwise noted,
the cleaned wafers were moved from the transfer chamber to the process chamber under
vacuum. The loaded wafers were then subjected to in-situ bake at 700 ◦ C for 10 min or
at 750, 800, or 850 ◦ C for 5 or 10 min in the presence of H2 and/or HCl. After baking,
the dichloro-silane (DCS, SiH2 Cl2 ), germane (GeH4 ), H2 , and HCl were used for Si, SiGe
blanket and selective epitaxy at 700 ◦ C under pressures of 10–100 Torr.
In further experiments, the effects of the temperature and environment of the process
chamber at the time of loading the wafers were examined. In these experiments, all the
wafers were subjected to ex-situ dry cleaning before loading into the equipment. After
dry cleaning, the wafers were loaded into a load lock chamber and subjected to vacuum
before being moved into a transfer chamber and, finally, into the process chamber. The
temperature of the process chamber at the transferal stage (i.e., the loading temperature)
was varied as 550, 600, 650, and 700 ◦ C, and the conditions of the process chamber were
either a vacuum or a gaseous atmosphere.
The thicknesses and quality of the resulting epitaxial films were examined via Cs-
corrected scanning transmission electron microscopy (HRTEM; JEOL, JEM-ARM200F,
Tokyo, Japan) and secondary ion mass spectroscopy (SIMS; IMS 7f, CAMECA, Gennevil-
liers, France). O (16 O), F (19 F), and C (12 C) concentration profiles were measured with a
SIMS Cameca IMS 7f microanalyzer by using a 6 keV Cs+ mass-filtered primary ions of an
intensity of 12 nA. The beam was rastered over an area of 250 × 250 µm2 and the analysis
area was 150 µm in diameter. The quality of the epitaxial SiGe film was measured by high
resolution X-ray diffraction (HRXRD) using PANalytical X’pert PRO (Malvern, UK) with a
4 bounce symmetric Ge (220) monochromator and slits in front of the detector.

3. Results and Discussions


3.1. Ex-Situ Wet DHF Cleaning
The concentrations of impurities in the SiGe epitaxial films obtained after pre-cleaning
with 1:30 DHF and 1:200 DHF are indicated by the SIMS results in Figure 2. The SiGe
film grown after cleaning with 1:30 DHF exhibits an O peak with a concentration of about
~1020 /cm3 at the interface between the SiGe film and the Si substrate, whereas the film
grown after cleaning with 1:200 DHF exhibits a decreased concentration of ~1019 /cm3
Materials 2021, 14, x FOR PEER REVIEW 4 of 11

Materials 2021, 14, 3733 4 of 11

grown after cleaning with 1:200 DHF exhibits a decreased concentration of ~1019/cm3 (Fig-
ure 2a). Similarly, the level of F impurity is less in the film grown after cleaning with 1:200
(Figure 2a). Similarly, the level of F impurity is less in the film grown after cleaning with
DHF (Figure 2b). This may be attributed to the formation of more Si–H bonds, and corre-
1:200 DHF (Figure 2b). This may be attributed to the formation of more Si–H bonds, and
spondingly fewerfewer
correspondingly unstable Si–FSi–F
unstable bonds, on the
bonds, Si surface
on the Si surfaceduring
duringthe
thelonger
longeretching
etching time in
the presence
time of the lower-concentration
in the presence HF solution
of the lower-concentration HF solution(1:200 HF).
(1:200 HF).

1.E+21 1.E+21
10 10
O F
1.E+20
1.E+20
10
10 1:30 DHF 1:30 DHF
Concentration(/cm3)

Concentration(/cm3)
1:200 DHF 1.E+19
10 1:200 DHF
1.E+19
10
1.E+18
10

1.E+18
10 1.E+17
10
(a) (b)
1.E+17 1.E+16
10 10
0 10 20 30 40 50 0 10 20 30 40 50
Depth (nm) Depth (nm)

Figure
Figure2.2. The secondaryion
The secondary ionmass
mass spectroscopy
spectroscopy (SIMS)
(SIMS) profiles
profiles of theofSiGe
the epitaxial
SiGe epitaxial films obtained
films obtained
after pre-cleaning with 1:30 DHF and 1:200 DHF, indicating the concentrations of (a) O F.
after pre-cleaning with 1:30 DHF and 1:200 DHF, indicating the concentrations of (a) O and (b) and (b) F.
3.2. Comparison of Ex-Situ DHF Wet Cleaning, Dry Cleaning, and Combined
3.2.
WetComparison of Ex-Situ DHF Wet Cleaning, Dry Cleaning, and Combined Wet + Dry
+ Dry Cleaning
Cleaning
The SIMS profiles of the Si epitaxial films obtained after ex-situ wet pre-cleaning
withThe SIMS
1:200 DHF,profiles of theand
dry cleaning, Si epitaxial
combined films
wet + obtained afterare
dry cleaning ex-situ wet pre-cleaning
presented in Figure 3. with
1:200 DHF, dry cleaning, and combined wet + dry cleaning are presented in
Thus, in Figure 3a, the O profile of the epitaxial substrate that was wet cleaned Figure
with 1:2003. Thus,
× 18 /cm3 . By comparison,
in Figure 3a, the O profile of the epitaxial substrate that was wet cleaned with 1:200 DHF
DHF exhibits an impurity peak with a concentration of ~1.8 10
the intensity of the O peaks of the dry cleaned and wet + dry cleaned substrates are
exhibits an impurity peak with a concentration of ~1.8 × 1018/cm3. By comparison, the in-
measurably decreased. Among the dry and wet + dry cleaning, the wet + dry have a
tensity of the O peaks of the dry cleaned and wet + dry cleaned substrates are measurably
lower O concentration at the interface. Meanwhile, the F profiles in Figure 3b reveal a
decreased.
major decreaseAmong in thethe dry
level ofand wet + dry
F impurity cleaning,
for the dry andthe the wet + drywet
combined have a lower
+ dry O concen-
cleaning,
tration at the interface. Meanwhile, the F profiles in Figure 3b reveal
compared to the wet-only treatment, while the C profiles in Figure 3c reveal no impurity a major decrease in
the level
peak of F
for all impurity
three cleaningforconditions.
the dry and Thesetheresults
combined
can bewet + dry cleaning,
attributed to the fact compared
that the Si to the
wet-only treatment,
surface becomes while the and
H-terminated C profiles in Figure
hydrophobic when3cpre-cleaning
reveal no impurity peakusing
is performed for all three
cleaning conditions. These results can be attributed to the fact that the Si surface in
DHF, which can prevent pollution or oxidation in the ambient atmosphere. However, becomes
H-terminated and hydrophobic
the case of the wet-only cleaning, thewhen
amountpre-cleaning
of subsequentis performed
queueing time using DHF,
in the which can
ambient
atmosphere is inevitably increased by the need for rinsing with DI water followed by
prevent pollution or oxidation in the ambient atmosphere. However, in the case of the
drying. This significantly increases the possibility of re-oxidation. Not only is the need for
wet-only cleaning, the amount of subsequent queueing time in the ambient atmosphere is
these processes eliminated in the case of dry cleaning, but also there is the advantage of
inevitably
being able increased
to perform the by in-situ
the needdryfor rinsing
cleaning in awith
vacuumDI water
chamber.followed by drying.
Hence, dry cleaning This
is sig-
nificantly increases the
more advantageous thanpossibility
wet cleaningof re-oxidation.
in terms of theNot queue only is the
time need forThe
constraints. theseTEMprocesses
eliminated
images of the in interface
the casebetween
of dry cleaning, but also
the Si epitaxial layerthere
and the is Si
the advantage
substrate of theofepitaxial
being able to
perform the in-situ
films grown after the dry cleaning
three in pre-cleaning
different a vacuum chamber.
are presentedHence, dry cleaning
in Figure 4. Here,isthe more ad-
vantageous than wet
interface between cleaningand
the substrate in terms of thelayer
the epitaxial queue time distinguished
is easily constraints. The in theTEM images of
sample
the interface
that between
was subjected thecleaning
to wet Si epitaxial
onlylayer
(Figureand theand
4a), Si substrate of the epitaxial
becomes progressively lessfilms
well- grown
defined in the epitaxial films that were subjected to dry cleaning only (Figure 4b) and to the
after the three different pre-cleaning are presented in Figure 4. Here, the interface between
combined wet + dry cleaning process (Figure 4c). These results suggest that both the dry
the substrate and the epitaxial layer is easily distinguished in the sample that was sub-
and combined wet + dry cleaning processes provide better cleaning efficiency than the wet
jected to wet
cleaning only,cleaning
and that only (Figure
the wet + dry 4a), and is
cleaning becomes progressively
more effective less well-defined
than the dry-only cleaning. in the
epitaxial films that were subjected to dry cleaning only (Figure 4b) and to the combined
wet + dry cleaning process (Figure 4c). These results suggest that both the dry and com-
bined wet + dry cleaning processes provide better cleaning efficiency than the wet clean-
ing only, and that the wet + dry cleaning is more effective than the dry-only cleaning.
OR PEER REVIEW 5 of 11

Materials 2021,
Materials 14,14,
2021, x FOR
3733 PEER REVIEW 5 of 11 5
1.E+21 1.E+21
10 10
O F
1.E+20 1.E+20
10 1.E+21 10 1.E+21
Wet
10 DHF 10 Wet DHF

Concentration (/cm3)
Concentration (/cm3)

Dry O Dry F
1.E+19
1.E+19
10 Wet
10 DHF +Dry
1.E+20 10 1.E+20
10 Wet DHF+ Dry
Wet DHF Wet DHF

Concentration (/cm3)
Concentration (/cm3)
Dry Dry
1.E+18 1.E+19
1.E+18
10
1.E+19
10 10 +Dry
Wet DHF 10 Wet DHF+ Dry

1.E+18 1.E+17 1.E+18


1.E+17 10 10 10
10
(a) (b)

1.E+17 1.E+17
1.E+16 10 1.E+16 10
10 10 (b)
(a)
0 10 20 30 0 10 20 30
Depth (nm)
1.E+16
10 Depth
1.E+16 (nm)
10
1.E+21
10 0 10 20 30 0 10 20 30
Depth (nm) Depth (nm)
C 1.E+21
10
1.E+20
10 Wet DHF C
Concentration (/cm3)

1.E+20
10 Dry Wet DHF
1.E+19
Concentration (/cm3)

10 Wet DHF+Dry Dry


1.E+19
10 Wet DHF+Dry
1.E+18
10
1.E+18
10
1.E+17
10
(c) 1.E+17
10
(c)
1.E+16
10 1.E+16
0 10 10 20 30
Depth (nm)0 10 20 30
Depth (nm)

Figure 3. The SIMS profiles


Figure 3.of
Figure 3.ThetheSIMS
The Si epitaxial
SIMS profiles of films
profiles of Si
the theobtained
Si epitaxial
epitaxial after
films ex-situ
films afterwet
obtained
obtained pre-cleaning
after
ex-situ ex-situ
wet with
wet 1:200
pre-cleaning
pre-cleaning with 1:200 with 1
DHF, dry cleaning, and
DHF,
DHF,combined
dry cleaning,
dry cleaning,wet and
and+ dry
combinedcleaning,
combined wet +wetindicating
dry cleaning, the concentrations
+ dry cleaning,
indicating the of (a) O, (b)(b)
indicating the concentrations
concentrations of (a) O, F,of
F, (a) O, (
and (c) C. and (c)C.C.
and (c)

(a) (b) (c)


(a) (b) (c)

Figure 4. The TEM images of the Si epitaxial films obtained after ex-situ pre-cleaning with ins
Figure
Figure 4. The
4. The TEM TEM HRTEM
imagesimages
of ofimage (a)
the Sifilms
the Si epitaxial with 1:200
epitaxial
obtained DHF
films
after (wetpre-cleaning
clean
obtained
ex-situ only),
after (b)inset
ex-situ
with dry ofclean only,
pre-cleaning
HRTEM and
with
image (c)
(a) wet of
inset
with + dry clean
HRTEM
1:200 image
DHF (wet clean(a) with
only), (b) 1:200 DHF
dry clean only,(wet clean
and (c) wet +only), (b) dry
dry cleaning. clean only, and (c) wet + dry cleaning.
3.3. In-Situ Hydrogen and HCl Bake Cleaning
3.3. In-Situ Hydrogen and HCl Bake Cleaning
3.3. In-Situ Hydrogen and
AsHCl
the Bake
final Cleaning
cleaning process before epitaxial growth, in-situ bake is very impor
As the final cleaning process before epitaxial growth, in-situ bake is very important
for removing
As the final cleaning process
for removing any
any residual
before
residual surface
oxideoxide
epitaxial
surface growth, from
from the the SiThis
Siin-situ
wafer. wafer.
bake isThis
very
residual residualmay oxide
important
oxide result may re
from incomplete ex-situ cleaning, or by re-oxidation during the numerous steps proces
for removing any residual surface
from incomplete oxide
ex-situ from or
cleaning, thebySi wafer. This
re-oxidation residual
during oxideprocessing
the numerous may result
steps after ex-situ
after ex-situ cleaning.cleaning.
AlthoughAlthough the effectiveness
the effectiveness of H2 bakeofincreases
H2 bakewithincreases with increa
increased
from incomplete ex-situ cleaning, orbake
by temperature
re-oxidation during the numerous processing
temperature, a higher bake temperature leads to undercutting or erosion of2 or
temperature, a higher leads to undercutting or erosion of the SiO the SiO2 o
steps after ex-situ cleaning.
Si pattern Although
pattern and to changes
and
the effectiveness
to changesininthe thedoping
doping
of H[19].
profile 2 bake
profile Theincreases
[19]. SIMS O and
The SIMS O
with increased
F profiles of the
and F profiles of th
temperature, a higher bake
Si epitaxial
epitaxial temperature
films obtainedleads to
after wet undercutting
pre-cleaning andorsubsequent
erosion of
H2the
films obtained after wet pre-cleaning and subsequent H2 bake at various tem
bakeSiO or Si
at 2various
temperatures are presented in Figure 5a,b, respectively. The corresponding areal impurity
pattern and to changes
atures in the dopinginprofile
arepresented
presented Figure [19]. The SIMS OThe and F profiles ofareal the impurity
Si
doses are as a bar chart 5a, b, respectively.
in Figure 5c. These data revealcorresponding
that levels of O and F d
epitaxial films obtained
are after
presented
impurities wet
at theas pre-cleaning
a bar chart
interface and
in Figure
gradually subsequent
5c.as
decrease These H bake
data reveal
2
the temperature at various
of that levels
H2 bake temper-
of O and
increases fromF impur
atures are presented at in
theFigure
interface 5a,gradually
b, respectively.
decreaseThe corresponding
as the temperature of areal impurity
H2 bake increases dosesfrom 700 to
are presented as a bar
°C, chart in Figure
and that 5c. Thesepeaks
these impurities data reveal that levels
are completely of O and
removed F impurities
at 850 °C. Figure 6 shows
TEM images
at the interface gradually decrease of the
asepi-Si obtained after
the temperature of wet
H2 bakepre-cleaning
increases and subsequent
from 700 to 800 H2 bake at
ious temperatures. (a) 700 °C for 10 min, (b–d) 750,
°C, and that these impurities peaks are completely removed at 850 °C. Figure 6 shows the 800, 850 °C for 5 min. The interf
Materials 2021, 14, 3733 6 of 11

EER REVIEW 6 of 11

700 to 800 ◦ C, and that these impurities peaks are completely removed at 850 ◦ C. Figure 6
shows the TEM images of the epi-Si obtained after wet pre-cleaning and subsequent H2
Materials 2021, 14, x FOR PEER REVIEW
bake at various temperatures. (a) 700 ◦ C for 10 min, (b–d) 750, 800, 850 ◦ C for 5 min.
shows the TEM images of Si cap (~10 nm)/SiGe (~14nm)/Si buffer (~5 nm) multi-stack lay-
The interfaces between the substrate and the epitaxial layer are distinguished in 700, 750,
ers grown on a Si substrate.
800 ◦ C—samples That sample
as SIMS results.was grown
In Figure 7, the after
HRTEM wet pre-cleaning
images showing atomic(200:1
lattices DHF)

and subsequent H2and bake at 700 A°C. HRXRD was of measured toSicharacterize
FFT diffraction patterns for the 750 C-sample show the crystalline structure of the
the SiGe ep
shows thelayer.
Si-epitaxial TEM images
multi-stack of consisting
Si cap (~10 nm)/SiGe
Si/SiGe/Si on (~14nm)/Si buffer
substrate was (~5 nm) mu
also grown.
layer. It shows SiGeFigure
layer has athe32%
8 shows TEM Geimages fraction
of Si cap and strained.
(~10 nm)/SiGe (~14nm)/Si buffer (~5 nm) multi-
ers grown on a Si substrate. That sample was grown after wet pre-cleaning
stack layers grown on a Si substrate. That sample was grown after wet pre-cleaning (200:1
1.E+21
10 and
DHF)subsequent
and subsequent HH2 2101.E+21
bake bake atat700700
◦ C. °C.
HRXRDHRXRD was measured
was measured tothe
to characterize characterize
SiGe
layer. It shows
epi layer.
1.E+20
10
It 700C o SiGe layer has a 32% Ge fraction
shows SiGe layer has aF32%
O
Ge fraction and 700 oC and strained.
strained.
700C 700 C 1.E+20
10

Concentration (/cm3)
750C
Concentration (/cm3)

750 oC 750 oC
750C
1.E+21 1.E+21
10 800C
800 oC 10 800 oC
800C
1.E+19 1.E+19
10 850C
850 oC 10 F850 oC
850C
1.E+20 O 700C
700 oC 1.E+20 700C
700 oC
10 10

Concentration (/cm3)
750C
Concentration (/cm3)

1.E+18 1.E+18 750 oC 750 oC


750C
10 10
800C
800 oC 800 oC
800C
1.E+19 1.E+19
10 850C
850 oC 10 850 oC
850C
1.E+17 (d) 1.E+17
10 10
(a) 1.E+18
10 (b)
1.E+18
10
1.E+16 1.E+16
10 10
0 5 10 101.E+17
15 20 0 5 10 15 20
(d) 1.E+17
10
Depth (nm) (a) Depth (nm)
(b)
1.E+13
10
1.E+16 1.E+16
10 10
Interface rimpurity dose (/cm2)

0 O 5 10 15 20 0 5 10 15 20
Depth (nm) Depth (nm)
1.E+12
10
1.E+13
10 F
Interface rimpurity dose (/cm2)

O
1.E+11 1.E+12
10 10 F

1.E+11
1.E+10 10
10
700 C 750 C 800 C 850oCC
(c) 700 oC 750 oC 800 oC 850
H2 bake temperature
1.E+10
10
700oC 750oC
C 800 oCC 850oCC
(c) 700 C 750 800 850
H2 bake temperature
Figure 5. The SIMS OFigure
(a) and F (b) profiles of the Si epitaxial films obtained after wet pre-cleaning
5. The SIMS O (a) and F (b) profiles of the Si epitaxial films obtained after wet pre-cleaning
and subsequent H2 bake
Figure at5.various
The H
and subsequent SIMS temperatures;
2 bakeO at (a) (c)profiles
a bar
andtemperatures;
various F (b) chart
(c) a of
bartheshowing
chartSishowing thecorresponding
epitaxial
the corresponding
films obtained area
arealafter w
doses of O and F. (700 °C for
of O 10
and min,
F. (700 750,
C for 800,
10 850
min, °C
750, for
800, 850 5 Cmin).
and subsequent H2 bake at various temperatures; (c) a bar chart showing the corres
doses ◦ ◦ for 5 min).

doses of O and F. (700 °C for 10 min, 750, 800, 850 °C for 5 min).

Figure 6. The TEM images ofThe


the TEM
Si epitaxial films
theobtained after wet pre-cleaning and subsequent
Figure 6. The TEM images of the Si epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at various
Figure
temperatures. (a) 700 ◦ C for 10 min, 6.
(b–d) 750, images
800, 850 ofmin.
◦ C for 5 Si epitaxial films obtained after wet pre-cleaning a
H2 bake at various temperatures.
H2 bake at various(a) 700 °C for 10 min,
temperatures. (b–d)
(a) 700 750,10800,
°C for min,850 °C 750,
(b–d) for 5800,
min.850 °C for 5 m

A A

strate strate
B e
B
e
) Interface of epi-substrate
Materials 2021, 14, 3733 Figure 6. The TEM images of the Si epitaxial films obtained after
7 of 11 wet pr

H2 bake at various temperatures. (a) 700 °C for 10 min, (b–d) 750, 800, 85

PEER REVIEW Figure 7. The TEM image of the epi-Si obtained after wet pre-cleaning and subsequent H27 bake of 11at
Figure 7. The TEM image of the epi-Si obtained after wet pre-cleaning
750 ◦ C 5 min. (a) TEM image, (b) TEM image of the red box, (c) FFTs of substrate and epitaxial layer
750 °Ccrystalline
showing 5 min.structure.
(a) TEM image, (b) TEM image of the red box, (c) FFTs of s
showing crystalline structure.

Si

Si peak

SiGe(Ge=32%)
Intensity (arb.unit)

SiGe peak
9.92 nm

Si 2 nm (b) Si thickness
fringe
14.01 nm
30.31 nm

SiGe(Ge=32%)
6.06 nm

Si buffer (b)
-6000 -4000 -2000 0 2000
5 nm (a)
(a) Si substrate (b) ω-2θ (arcsec)

Figure 8. The TEM image and high resolution X-ray diffraction (HRXRD) of the Si (10 nm)/SiGe (14 nm)/Si (6 nm) epitaxial
Figure 8. The TEM image and high resolution X-ray diffraction (HRXRD) of the Si (10 nm)/SiGe (14
films obtained after wet pre-cleaning and subsequent H2 bake at 700 ◦ C. (a) TEM image (b) HRXRD rocking curve (Si and
nm)/Si (6 nm)
SiGe peaks epitaxial films obtained after wet pre-cleaning and subsequent H2 bake at 700 °C. (a)
are shown).
TEM image (b) HRXRD rocking curve (Si and SiGe peaks are shown).
The SIMS O and F profiles of the Si epitaxial films obtained after dry pre-cleaning
and subsequent H2 bake at various temperatures are presented in Figure 9. In comparison
The SIMS O and
to F
theprofiles of the
above results Si wet
for the epitaxial films obtained
DHF pre-cleaned after 5),
samples (Figure dry pre-cleaning
an overall decrease
and subsequent H2 bake at various temperatures are presented in Figure 9. In comparison
to the above results for the wet DHF pre-cleaned samples (Figure 5), an overall decrease
in the concentration of impurities is observed in the dry pre-cleaned samples (Figure 9),
TEM image (b) HRXRD rocking curve (Si and SiGe peaks are shown).

The SIMS O and F profiles of the Si epitaxial films obtained after dry pre-cl
and subsequent H2 bake at various temperatures are presented in Figure 9. In comp
Materials 2021, 14, 3733 to the above results for the wet DHF pre-cleaned samples (Figure 5), an 8 ofoverall
11 d
in the concentration of impurities is observed in the dry pre-cleaned samples (Fig
even when H2 bake is performed at 700 °C for 10 min. Finally, to further impro
effectiveness of low-temperature
in the concentration surfaceintreatment,
of impurities is observed the H2 samples
the dry pre-cleaned bake at (Figure
700 °C9),for 10 m
even when H2 bake is performed at 700 ◦ C for 10 min. Finally, to further improve the
followed by an additional bake step in the well-known Si etchant gas HCl [19,32], a
effectiveness of low-temperature surface treatment, the H2 bake at 700 ◦ C for 10 min was
results
followedare
by presented
an additionalin Figure
bake step in10.
theHere, a decrease
well-known in gas
Si etchant theHCl
level of Oand
[19,32], impurity
the is
seen compared
results to inthat
are presented obtained
Figure 10. Here,after H2 bake
a decrease in theonly.
level ofItOisimpurity
expected that seen
is clearly the HCl b
moves
comparedanytocontaminated
that obtained afterupper Si surface,
H2 bake only. It isthus effectively
expected decreasing
that the HCl bake removesthe level of
rity at the interface.
any contaminated upper Si surface, thus effectively decreasing the level of impurity at the
interface.
1.E+21 1.E+21
10 10
O 700C
700 oC, 10 min
700 oC, 10 min
700C
800C
800 5m
oC, 5 min
F
1.E+20
10 800 o5m
800C C, 5 min
1.E+20
800C
850 10m

Concentration (/cm3)
10 oC, 10 min
Concentration (/cm3)

800C
850 o10m
C, 10 min
1.E+19
10
1.E+19
10
1.E+18
10

1.E+18
10 1.E+17
10
(a) (b)
1.E+17 (d) 1.E+16 (e) (f)
10 10
0 5 10 15 20 0 5 10 15 20
depth (nm) depth (nm)
Materials 2021, 14, x FOR PEER REVIEW
Figure 9.The
Figure 9. TheSIMS
SIMS O and
O (a) (a) and
F (b) F (b) profiles
profiles of the Siof the Si films
epitaxial epitaxial films
obtained obtained
after after dry pre-c
dry pre-cleaning
and subsequent 2H2 bake at various temperatures.
and subsequent H bake at various temperatures.

1.E+20 1.E+21
10 10
O F Add HCl
Add HCl 1.E+20
10 H2 (700
H2 oC)only
(700C) only
Concentration (/cm3)

Concentration (/cm3)

1.E+19
10
H2 (700
H2 oC) only
(700C) only
1.E+19
10
1.E+18
10
1.E+18
10

1.E+17
10 1.E+17
10
(a) (b)
(d) (e) (f)
1.E+16 1.E+16
10 10
0 5 10 15 20 0 5 10 15 20
1.E+21
depth (nm) depth (nm)
10
C Add HCl
1.E+20
10 H2 (700
H2 oC) only
(700C) only
Concentration (/cm3)

1.E+19
10

1.E+18
10

1.E+17
10 (c)

1.E+16
10
0 5 10 15 20
depth (nm)

Figure 10. The SIMS O (a), F (b), and C (c) profiles of the Si epitaxial films obtained after ex-situ dry
Figure 10. The
pre-cleaning SIMS O (a),
and subsequent F (b),
in-situ and
bake in C
the(c) profiles
presence of2 the
of H Si epitaxial
and then films
HCl at 700 ◦ C. obtained after ex

pre-cleaning and subsequent in-situ bake in the presence of H2 and then HCl at 700 °C.
3.4. Process Chamber Loading Conditions
The SIMS O and F profiles of the epitaxial layers obtained under various loading
3.4. Process Chamber
temperatures Loading
are presented Conditions
in Figure 11. Here, peaks in the O profile are hardly discernable
The temperatures
at loading SIMS O and F profiles
below of the11a).
700 ◦ C (Figure epitaxial layers
Moreover, obtained
the levels of F alsounder various
decrease
temperatures are presented in Figure 11. Here, peaks in the O profile are hardly d
with decreased loading temperature (Figure 11b). This suggests that the surface Si–H

able at loading temperatures below 700 °C (Figure 11a). Moreover, the levels o
decrease with decreased loading temperature (Figure 11b). This suggests that the
Si–H bonds generated during the dry pre-cleaning process are readily broken un
Si–H bonds generated during the dry pre-cleaning process are readily broken under the
high-temperature loading conditions. Therefore, low temperature loading is required for
high quality epitaxial growth.
Finally, the SIMS O, F, and C profiles of the Si epitaxial films obtained using different
Materials 2021, 14, 3733 loading conditions (vacuum loading or gaseous loading), where all wafers were dry pre-
9 of 11
cleaned, are presented in Figure 12. Here, the O impurity is seen to be suppressed under
the gaseous loading environment compared to that observed under the vacuum loading
environment. This is explained similarly to the effects of loading temperature (i.e., the
bonds generated during the dry pre-cleaning process are readily broken under the high-
gaseous environment
temperature in the process
loading conditions. chamber
Therefore, at the time loading
low temperature of loading the wafer
is required is effective
for high
for preserving the Si–H
quality epitaxial growth. bonding on the surface of the Si wafer).

1.E+21 1.E+21
10 10
O 700C
700 oC F 700C
700 oC
650C
650 oC 1.E+20 650 oC
650C
1.E+20
10
10 600 oC
600C 600 oC
600C

Concentration (/cm3)
Conecentration (/cm3)

550 oC
550C 550 oC
550C
1.E+19
10
1.E+19
10
1.E+18
10

1.E+18
10 1.E+17
10
(d) (e) (f)
(a) (b)
1.E+17 1.E+16
10 10
0 5 10 15 20 0 5 10 15 20
depth (nm) depth (nm)

Figure11.
Figure The SIMS
11. The SIMS OO(a)
(a)and
andF F(b)(b)
profiles of the
profiles Si epitaxial
of the filmsfilms
Si epitaxial obtained under under
obtained variousvarious
loading loading
temperatures using
temperatures usingsamples
samplesthat
thatwere drydry
were pre-cleaned.
pre-cleaned.

Finally, the SIMS O, F, and C profiles of the Si epitaxial films obtained using different
loading conditions (vacuum loading or gaseous loading), where all wafers were dry pre-
cleaned, are presented in Figure 12. Here, the O impurity is seen to be suppressed under
the gaseous loading environment compared to that observed under the vacuum loading
Materials 2021, 14, x FOR PEER REVIEW
environment. This is explained similarly to the effects of loading temperature (i.e., the
gaseous environment in the process chamber at the time of loading the wafer is effective
for preserving the Si–H bonding on the surface of the Si wafer).

1.E+20 1.E+20
10 10
O F
Vac. loading(ref) Vac. loading(ref)
Concentration (/cm3)

1.E+19 1.E+19
Concentration (/cm3)

10 Gas loading 10 Gas loading

1.E+18 1.E+18
10 10

1.E+17
10 1.E+17
10
(a) (b)
1.E+16
10 1.E+16
10
0 5 10 15 20 0 5 10 15 20
depth (nm) depth (nm)
1.E+20
10 (d)
C
Vac. loading(ref)
1.E+19
Concentration (/cm3)

10 Gas loading

1.E+18
10

1.E+17
10

(c)
1.E+16
10
0 5 10 15 20
depth (nm)

Figure 12.The
Figure 12. The SIMS
SIMS OF(a),
O (a), (b), Fand
(b),
C and C (c) of
(c) profiles profiles of the Si
the Si epitaxial epitaxial
films obtainedfilms
usingobtained
different using
loading conditions (vacuum loading or gaseous loading), where all wafers were dry pre-cleaned.
loading conditions (vacuum loading or gaseous loading), where all wafers were dry pre-cl
4. Conclusions
4. Conclusions
The present study examined the effects of three main epitaxy steps (ex-situ cleaning,
The present study examined the effects of three main epitaxy steps (ex-situ c
in-situ bake and the wafer loading environment) upon the quality of Si-based epitaxial films

in-situ bake and the wafer loading environment) upon the quality of Si-based e
films obtained via reduced pressure chemical vapor deposition (RPCVD). With re
ex-situ cleaning, dry cleaning gave better results than either wet cleaning with
Materials 2021, 14, 3733 10 of 11

obtained via reduced pressure chemical vapor deposition (RPCVD). With respect to ex-situ
cleaning, dry cleaning gave better results than either wet cleaning with a 1:200 solution of
dilute hydrofluoric acid (DHF) in deionized water or wet cleaning with 1:30 DHF. However,
the best cleaning effect of all was obtained using a combination of wet (1:200 DHF) and
dry cleaning. A multi-stack consisting of Si/SiGe/Si on a Si substrate grown after wet
pre-cleaning (200:1 DHF) and H2 bake at 700 ◦ C showed strained-pseudomorphic layers by
HRXRD. With respect to the in-situ H2 bake, the levels of oxygen and fluorine impurities
were found to decrease as the temperature increased from 700 to 800 ◦ C, and no impurities
peaks were observed at a bake temperature of 850 ◦ C. Moreover, an additional baking step
in HCl after the H2 bake was effective even at a temperature as low as 700 ◦ C. Finally, with
respect to the conditions of the process chamber at the time of loading the wafers, loading
under a gaseous atmosphere at lower temperature gave better epitaxial film quality.
These results provide a valuable guideline for high-quality, low-temperature epitaxial
growth in a cluster RPCVD system. The recommended steps should be carefully applied in
to avoid compromising the quality and throughput of the epitaxy equipment as each step
is closely related to the epitaxy throughput.

Author Contributions: Conceptualization, J.J., B.S., B.K., W.-J.L., Y.S.J. and S.P.; methodology, B.S.
and B.K.; formal analysis, W.J. and J.J.; investigation, J.J., B.S., B.K., Y.S.J., S.C., W.-J.L. and S.P.;
writing—original draft preparation, J.J.; writing—review and editing, J.J.; supervision, B.S., B.K., Y.S.J.
and S.P. All authors have read and agreed to the published version of the manuscript.
Funding: This work was supported by the Ministry of Trade, Industry and Energy with the Korea
Semiconductor Research Consortium Support Program for the development of the future semicon-
ductor devices (10052928) and by National Research Foundation of Korea (NRF) funded by the
Ministry of science, ICT and Future Planning (2020R1A6A1A03043435).
Institutional Review Board Statement: Not applicable.
Informed Consent Statement: Not applicable.
Data Availability Statement: Data sharing is not applicable to this article.
Acknowledgments: Authors would like to thank Park, Yun Chang (National nano fab center (NNFC)
TEAM, Korea) for supporting TEM analysis.
Conflicts of Interest: The authors declare no conflict of interest.

References
1. Roy, F.; Suler, A.; Dalleau, T.; Duru, R.; Benoit, D.; Arnaud, J.; Cazaux, Y.; Chaton, C.; Montes, L.; Morfouli, P.; et al. Fully Depleted,
Trench-Pinned Photo Gate for CMOS Image Sensor Applications. Sensors 2020, 20, 727. [CrossRef] [PubMed]
2. Chang, H.-R.; Temple, V. High quality thick epitaxial films for power semiconductor devices. Solid State Electron. 1986, 29, 39–46.
[CrossRef]
3. Loubet, N.; Adam, T.; Raymond, M.; Liu, Q.; Cheng, K.; Sreenivasan, R.; Reznicek, A.; Khare, P.; Kleemeier, W.; Paruchuri, V.; et al.
Ultra-low resistivity in-situ phosphorus doped Si and SiC epitaxy for source/drain formation in advanced 20nm n-type field
effect transistor devices. Thin Solid Films 2012, 520, 3149–3154. [CrossRef]
4. Li, X.; Dube, A.; Ye, Z.; Sharma, S.; Kim, Y.; Chu, S. Selective Epitaxial Si:P Film for nMOSFET Application: High Phosphorous
Concentration and High Tensile Strain. ECS Trans. 2014, 64, 959–965. [CrossRef]
5. Wu, H.; Gluschenkov, O.; Tsutsui, G.; Niu, C.; Brew, K.; Durfee, C.; Prindle, C.; Kamineni, V.; Mochizuki, S.; Lavoie, C.; et al.
Parasitic Resistance Reduction Strategies for Advanced CMOS FinFETs Beyond 7nm. In Proceedings of the 2018 IEEE International
Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 35.4.1–35.4.4. [CrossRef]
6. Myronov, M.; Shah, V.A.; Rhead, S.; Leadley, D.R. Epitaxial Growth of Tensile Strained SiB Alloy on a Si Substrate. In Proceedings
of the 2012 International Silicon-Germanium Technology and Device Meeting (ISTDM), Berkeley, CA, USA, 4–6 June 2012; pp. 1–2.
[CrossRef]
7. Thompson, S.; Armstrong, M.; Auth, C.; Alavi, M.; Buehler, M.; Chau, R.; Cea, S.; Ghani, T.; Glass, G.; Hoffman, T.; et al. A 90-nm
Logic Technology Featuring Strained-Silicon. IEEE Trans. Electron. Devices 2004, 51, 1790–1797. [CrossRef]
8. Hashemi, P.; Kobayashi, M.; Majumdar, A.; Yang, L.A.; Baraskar, A.; Balakrishnan, K.; Kim, K.; Chan, K.; Engelmann, S.U.; Ott, J.A.;
et al. High-performance Si1−xGex channel on insulator trigate PFETs featuring an implant-free process and aggressively-scaled
fin and gate dimensions. In Proceedings of the 2013 Symposium on VLSI Circuits, Kyoto, Japan, 12–14 June 2013; pp. T18–T19.
Materials 2021, 14, 3733 11 of 11

9. Vincent, B.; Witters, L.; Richard, O.; Hikavyy, A.; Bender, H.; Loo, R.; Caymax, M.; Thean, A. Selective Growth of Strained Ge
Channel on Relaxed SiGe Buffer in Shallow Trench Isolation for High Mobility Ge Planar and Fin p-FET. ECS Trans. 2013, 50,
39–45. [CrossRef]
10. Delhougne, R.; Eneman, G.; Caymax, M.; Loo, R.; Meunier-Beillard, P.; Verheyen, P.; Vandervorst, W.; De Meyer, K.; Heyns,
M. Selective epitaxial deposition of strained silicon: A simple and effective method for fabricating high performance MOSFET
devices. Solid State Electron. 2004, 48, 1307–1316. [CrossRef]
11. Lee, M.; Antoniadis, D.; Fitzgerald, E. Strained and Relaxed SiGe for High-Mobility MOSFETs. In Proceedings of the 2006
International SiGe Technology and Device Meeting, Princeton, NJ, USA, 15–17 May 2006; pp. 1–2. [CrossRef]
12. Barraud, S.; Lapras, V.; Previtali, B.; Samson, M.P.; Lacord, J.; Martinie, S.; Jaud, M.-A.; Athanasiou, S.; Triozon, F.; Rozeau, O.;
et al. Performance and design considerations for gate-all-around stacked-NanoWires FETs. In Proceedings of the 2017 IEEE
International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 29.2.1–29.2.4. [CrossRef]
13. Thomas, S. Gate-all-around transistors stack up. Nat. Electron. 2020, 3, 728. [CrossRef]
14. Glowacki, F.; Campidelli, Y. Single wafer epitaxy of Si and SiGe using UHV-CVD. Microelectron. Eng. 1994, 25, 161–170. [CrossRef]
15. He, H.; Brabant, P.; Chung, K.; Shinriki, M.; Adam, T.; Reznicek, A.; Sadana, D.; Hasaka, S.; Francis, T. High strain embedded-SiGe
via low temperature reduced pressure chemical vapor deposition. Thin Solid Films 2012, 520, 3175–3178. [CrossRef]
16. Hartmann, J.M.; Loup, V.; Rolland, G.; Holliger, P.; Laugier, F.; Vannuffel, C.; Séméria, M.N. SiGe growth kinetics and doping in
reduced pressure-chemical vapor deposition. J. Cryst. Growth 2002, 236, 10–20. [CrossRef]
17. Sedgwick, T.O.; Agnello, P.D.; Berkenblit, M.; Kuan, T.S. Growth of Facet-Free Selective Silicon Epitaxy at Low Temperature and
Atmospheric Pressure. J. Electrochem. Soc. 1991, 138, 3042–3047. [CrossRef]
18. Destefanis, V.; Hartmann, J.M.; Hopstaken, M.; Delaye, V.; Bensahel, D. Low-thermal surface preparation, HCl etch and Si/SiGe
selective epitaxy on (110) silicon surfaces. Semicond. Sci. Technol. 2008, 23. [CrossRef]
19. Cheng, P.L.; Liao, C.I.; Wu, H.R.; Chen, Y.C.; Chien, C.C.; Yang, C.L.; Yang, S.F.; Tzou, J.; Tang, R.; Kodali, L.; et al. Effective
surface treatments for selective epitaxial SiGe growth in locally strained pMOSFETs. Semicond. Sci. Technol. 2007, 22, S140–S143.
[CrossRef]
20. Kormann, T.; Garnier, P.; Chabanne, G.; Fortuin, A. Ex-situ wet clean and in-situ hydrogen clean for Si and SiGe epitaxy. Thin
Solid Films 2008, 517, 269–271. [CrossRef]
21. Loo, R.; Hikavyy, A.; Leys, F.E.; Wada, M.; Sano, K.; De Vos, B.; Pacco, A.; Gonzalez, M.B.; Simoen, E.; Verheyen, P.; et al. Low
Temperature Pre-Epi Treatment: Critical Parameters to Control Interface Contamination. Solid State Phenom. 2009, 145–146,
177–180. [CrossRef]
22. Abbadie, A.; Hartmann, J.; Holliger, P.; Semeria, M.; Besson, P.; Gentile, P. Low thermal budget surface preparation of Si and SiGe.
Appl. Surf. Sci. 2004, 225, 256–266. [CrossRef]
23. Wang, J.; Inokuchi, Y.; Kunii, Y. Low-Temperature Pre-Treatments in Vertical Epitaxial Reactor with Improved Vacuum Load-Lock
Chamber. In Proceedings of the 2006 International SiGe Technology and Device Meeting, Princeton, NJ, USA, 15–17 May 2006;
Volume 22, pp. S107–S109.
24. Vincent, B.; Loo, R.; Vandervorst, W.; Brammertz, G.; Caymax, M. Low temperature Si homo-epitaxy by reduced pressure
chemical vapor deposition using dichlorosilane, silane and trisilane. J. Cryst. Growth 2010, 312, 2671–2676. [CrossRef]
25. Porret, C.; Hikavyy, A.Y.; Granados, J.F.G.; Baudot, S.; Vohra, A.; Kunert, B.; Douhard, B.; Bogdanowicz, J.; Schaekers, M.;
Kohen, D.; et al. (Invited) Very Low Temperature Epitaxy of Group-IV Semiconductors for Use in Finfet, Stacked Nanowires and
Monolithic 3D Integration. ECS J. Solid State Sci. Technol. 2019, 8, 392. [CrossRef]
26. Hartmann, J.; Benevent, V.; Damlencourt, J.; Billon, T. A benchmarking of silane, disilane and dichlorosilane for the low
temperature growth of group IV layers. Thin Solid Films 2012, 520, 3185–3189. [CrossRef]
27. Arkles, B.; Pan, Y.; Jove, F.; Goff, J.; Kaloyeros, A. Synthesis and Exploratory Deposition Studies of Isotetrasilane and Reactive
Intermediates for Epitaxial Silicon. Inorg. Chem. 2019, 58, 3050–3057. [CrossRef] [PubMed]
28. Finch, R.H.; Queisser, H.J.; Thomas, G.; Washburn, J. Structure and Origin of Stacking Faults in Epitaxial Silicon. J. Appl. Phys.
1963, 34, 406. [CrossRef]
29. Kern, W. Handbook of Semiconductor Wafer Cleaning Technology: Science, Technology, and Applications; Noyes Publications: Park
Ridge, NJ, USA, 1993.
30. Ramadan, Z.; Abdelmotalib, H.M.; Im, I.-T.; Im, I. Modeling of Epitaxial Silicon Growth from the DCS-H2-HCl System in a Large
Scale CVD Reactor. IEEE Trans. Semicond. Manuf. 2018, 31, 363–370. [CrossRef]
31. Ramadan, Z.; Im, I.-T. Optimization of Operating Parameters in a Planetary CVD Reactor Using Response Surface Methodology.
Silicon 2019, 11, 2067–2074. [CrossRef]
32. Kuijer, T.; Giling, L.; Bloem, J. Gas phase etching of silicon with HCl. J. Cryst. Growth 1974, 22, 29–33. [CrossRef]

You might also like