You are on page 1of 18

VISVESVARAYA TECHNOLOGICAL UNIVERSITY

Jnana Sangama, Belagavi- 590018

A Mini-Project Report on
“VENDING MACHINE”

A dissertation submitted in the partial fulfillment of the requirement for the Mini-Project

BACHELOR OF ENGINEERING
in
ELECTRONICS & COMMUNICATION ENGINEERING

Submitted by
Mini-Project Associates
1. Mr. UMESH B 3VC18EC061
2. Mr. NAGARAJ C Y 3VC18EC035
3. Ms. NAGA LAKSHMI V 3VC18EC034
4. Ms. SUPRITHA A G 3VC18EC059

Under the Guidance of


Mr. SHARANA BASAVA RAJ .B

V.V.SANGHA’S
RAO BAHADUR Y. MAHABALESWARAPPA ENGINEERING COLLEGE
DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING
BALLARI- 583 104
2020-2021
V.V SANGHA’S
RAO BAHADUR.Y.MAHABALESWARAPPA
ENGINEERING COLLEGE
BALLARI – 583104

(Affiliated to Visvesvaraya Technological University, Belagavi and approved by AICTE, New Delhi)

DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING

CERTIFICATE
Certified that the Mini-Project Work entitled “Vending Machine” is a bonafide work carried
out by UMESH B (3VC18EC061), NAGARAJ C Y (3VC18EC035), NAGA LAKSHMI V
(3VC18EC034) , SUPRITHA A G (3VC18EC059) in partial fulfillment for the Mini-Project of
Engineering in Electronics & Communication Engineering of Visvesvaraya Technological University,
Belagavi during the year 2020-2021. It is certified that all corrections/suggestions indicated for
Internal Assessment have been incorporated in the report deposited in the departmental library. The
Mini-project report has been approved as it satisfies the academic requirements.

Mr. SHARANA BASAVARAJ . B Dr.SAVITHA SONOLI

Mini-Project Guide Vice Principal & HOD

Dr. T HANUMANTHA REDDY

Principal

External Viva

Name of the Examiner Signature with date

1.

2.
ACKNOWLEDGEMENT

It is my privilege and primary duty to express my gratitude and respect to all those who
guided and inspired me in successful completion of this Mini-Project.

We express my sincere thanks to Dr. T HANUMANTHA REDDY, Principal, Dr.


SAVITA SONOLI, Vice Principal & Head,Project guide ,Mr. SHARANA BASAVARAJ. B ,
Mini-Project co-ordinators Mr. SANTOSH M/Mr.CHANNAVEERANA GOUDA(6A) //
Mrs.GIRIJAVANI G/Mrs ANITHA A(6B) Teaching & non-teaching staff of E&CE
Department for their cooperation in completion of the Mini-Project work and Management of
Rao Bahadur Y. Mahabaleswarappa Engineering College, Ballari for providing the facilities to
carry out this Mini-Project Work .
Lastly, I would like to express my gratitude to all those who have directly or indirectly
contributed their efforts in making Mini-Project a success.

Mini-Project Associates :
UMESH B (3VC18EC061)
NAGARAJ C Y (3VC18EC035)
NAGA LAKSHMI V (3VC18EC034)
SUPRITHA A G (3VC18EC059)
TABLE OF CONTENTS

Particulars Page No

ACKNOWLEDGEMENT I
ABSTRACT II
LIST OF TABLES III
LIST OF FIGURES IV

Chapter 1: INTRODUCTION 1

Chapter 2: DESIGN AND IMPLEMENTATION OF PROPOSED WORK


2.1 METHODOLOGY
2.2 REQUIREMENTS

Chapter 3: RESULTS & DISCUSSIONS

Chapter 4: ADVANTAGES, DISADVANTAGES & APPLICATIONS

Chapter 5: CONCLUSION & FUTURE SCOPE


REFERENCES
ABSTRACT
The vending machine is an automated machine that dispenses various
products such as snacks, beverages, newspapers, tickets etc. to customers when money or
credit card is inserted. Vending machines are more accessible and practical than the
convention purchasing method Now, vending machine market is a big business with huge
annual revenue for leading nations like the USA, Japan, China and some other Asian
countries including India. The project aims to design a vending machine that can dispense
three products of different prices with additional features of ‘return change’ when a coin of
higher denomination is inserted and ‘return money’ when request is cancelled. The machine
accepts coins of denominations five and ten. The finite state machine (FSM) approach is
adopted for the design of vending machine. The design is achieved by formulating the
Verilog code for the FSM-based machine using behavioural modelling and simulating the
testbench for three products using Xilinx ISE tool.
CHAPTER 1 :

INTRODUCTION

The Vending Machine is an automatic machine that sells food such as canned
soups and packaged sandwiches, snacks such as potato chips, chocolate bars, and candy); hot
drinks (coffee, tea, and hot chocolate); cold drinks (juice, bottled water, soft drinks, and in
some cases, milk or chocolate milk); or other items such as newspapers or tickets. The
machines usually work when a product is selected and some money (usually coins or paper
money) is put in a slot. Then, a button needs to be pushed, or a lever pulled. If there is enough
money, the selected item will be dropped to a tray, where it can be taken out by the person
making the purchase.

From 2000-2010, the specialization of vending machines became more common. When using
an automated retail machine, consumers select products, sometimes using a touch screen
interface, pay for purchases using a credit or debit card and then the product is dispensed,
sometimes via an internal robotic arm in the machine. The trend of specialization and
proliferation of vending machines is perhaps most apparent in Japan where there is 1 vending
machine for 23 people.

Vending Machines are used to dispense various products like Coffee, Snacks, and Cold Drink
etc. when money is inserted into it. Vending Machines have been in existence since 1880s.
The first commercial coin operated machine was introduced in London and England used for
selling post cards. Nowadays, these can be found everywhere like at railway stations train
tickets, in schools and offices vending drinks and snacks, in banks as ATM machine and
provides even diamonds and platinum jewelers to customers.
The FPGA based machine is also more flexible, programmable and can be re-programmed.
But in microcontroller-based machine, if one wants to enhance the design, he has to change
the whole architecture again but in FPGA user can easily increase the number of products.

In this paper a new approach is proposed to design an FSM based Vending Machine with
auto-billing features. The machine also supports a cancel feature means that the person can
withdraw the request and the money will be returned back to the user. The user will get a bill
of total number of products delivered with total price. This machine can be used at various
places like Hotels, Restaurants and food streets. This reduces the time and cost.

Vending machine are machines accepting money or credit cards in order to dispense various
goods including beverages, snacks, tickets, tobacco, among others attempting to replace the
traditional clerk counter. All though the vending machine is an ancient machine (first VM in
ancient Greece around 1st century AD selling” holy water”) and all though widely used in
England during 17th century for dispensing tobacco, it was not before the 20th century that
they found application in diverting markets. today, VMs are used as points of sell for almost
any products from fast food meals (pizzas, burgers) to cloths and underwear. The principle is
same for all kinds of VM client insets money and gets a product or service.
1.1 LITERATURE SURVEY
1 FSM Based Design on the Replication of one-hot code using Verilog HDL
Authors: R. Kiran Kumar (2013)

Description:

A self-checking approach to enhance the SEU/MBUs immunity of FSMs’ states by


replicating One-Hot code times for state encoding is presented. This approach can correct less
than bit-flip faults in the state register per cycle. Characteristics of this approach are obtained
by this approach can offer more enhanced reliability than Binary or One-Hot state encoding.
A self- checking approach to enhance the SEU/MBUs immunity of FSMs’ states by
replicating One-Hot code times for state encoding is presented. This approach can correct less
than bit-flip faults in the state register per cycle. Characteristics of this approach are obtained
by this approach can offer more enhanced reliability than Binary or One-Hot state encoding.

2 Design and Implementation of Vending Machine using Verilog HDL on


FPGA
Authors: Abhishek Luthra (11, November, 2015)

Description:

Describes the modelling of a Finite State based vending machine using the mealy
machine model. The proposed machine has been implemented on Spartan3 FPGA
development board. The whole design has been functionally verified using Xilinx 9.2i and
Modalism 6.2a simulator. A vending machine is a machine which dispenses items such as
snacks, beverages, lottery tickets, consumer products to customers automatically after the
customer inserts currency or credit into the machine. This paper compares different aspects as
timing and device utilization of the proposed machine with the previously proposed
machine3. Also, the project indicates a future possibility of a betterment over existing
vending machines.

3 Finite State Machine based Vending Machine Controller with Auto-


Billing Features
Authors: Ana Monga, Balwinder Singh (2, April, 2012)

Description:

Describes the designing of multi select machine using Finite State Machine Model
with Auto-Billing Features. The process of four state (user Selection, waiting for money
insertion, product delivery and servicing) has been modelled using Mealy Machine Model.
The proposed model is tested using Spartan 3 development board and its performance is
compared with CMOS based machine.
4 Design and Implementation of Vending Machine using Verilog HDL
Authors: Ashwag Alrehily, Ruquiah Fallatah, Vijey Thayananthan(18, April, 2015)

Description:

They propose FPGA board VM, which provide to people four products, namely, Ice
cream, Coca-Cola, snacks and chocolate. Using very simple steps and design, efficiency can
be improved to deliver the product. The machine takes an only coin, two types of coins (one
rupee and two rupee). It provides the change depending on the amount of money that the user
has inserted, and there is a Cancel button to return the money to the user. Using Xilinx, State
CAD tool does the VM simulation.

5 Implementation of FSM Based Automatic Dispense Machine with Expiry


Date Feature Using VHDL
Authors: Suhail, Beg (April 2014)

Description:

In this paper the author described Finite State Machine based automatic dispense
machine using Xilinx ISE 14.2. This machine accepts money as an input to dispense the
products and returns back the money without dispensing the product to the customer if the
product is out of date. Thus, it can be useful to ensure the good quality of the product along
with quantity and cost.

6 Touch Screen Based Automated Medical Vending Machine

Authors: Singh (April 2015)

Description:

In this paper the author described medicine vending machine based on IR Standard
touch technology as the input to select different medical facilities like First Aid facility,
ambulance facility, and direct calling facility via GSM, dynamic GPS, smart card facility and
restocking medicine alert. The software used is visual basic was programmed such that when
the patient selects certain facility, it will be served to that patient. Thus, it can be helpful in
case of illness, small or big accidents and so can be placed anywhere.
CHAPTER 2 :

DESIGN AND IMPLEMENTATION OF PROPOSED WORK


METHODOLOGY OF WORK
A finite state machine is an abstract machine that can be in one of the finite
number of states at any given time. These machines can distinguish among a finite number of
classes of input histories. These classes of input histories are referred to as internal states of
the machine. Every finite state machine therefore contains a finite number of memory
devices.

2.1 FLOW CHART OF VENDING MACHINE


From figure 2.1 it indicates the flow of various operations performed during the
working of the vending machine. Initially, the product needs to be selected followed by the
insertion of coins. The count is incremented as the coins are inserted. If a cancel signal is
given, the machine returns inserted money and decrements count. Otherwise, the machine
checks the inserted money with the price of the selected product. If both are equal, the
machine dispenses the appropriate product. If inserted money is greater than price, the
machine gives the appropriate product along with the change. If inserted money is less than
the price of the selected product, the machine waits for the customer to insert more coins.

Fig2.1: Flow chart of Vending Machine


2.2 STATE DIAGRAM OF VENDING MACHINE
The flow of signals that lead to various states is shown in figure 2.2 .The
select and coin signals are inputs. Cancel signal is also input. Product, change and return are
outputs. The inputs for various products are assigned as given below.
Sel = 00 – Product A (Rs. 5/-) Coin = 01 - Five
Sel = 01 – Product B (Rs. 10/-) Coin = 10 - Ten
Sel = 10 – Product C (Rs. 20/-)
Initially, the machine is in initial state where no product is given. The customer selects the
product and inserts coins. If a five coin is inserted, the machine goes to ‘five’ state. If cancel
button is pressed, the machine returns the money and goes to initial state. Otherwise, it
checks for selection and gives product if select signal is of product A. If the entered money is
of higher denomination than the price of the product selected, the machine gives product B
along with change of five. The product is dispensed accordingly. The state diagram indicates
the flow of various signals and states during the working of vending machine.

Fig 2.2: State diagram of Vending Machine


2.3 SOFTWARE MODULE
For the implementation of the proposed system, we require the following software
requirements :

1 Xilinx software version 14.7(includes ISE design suite, vivado14.7 Xilinx plane ahead) .

2 It is the open-software it is compatible with windows 7 and newer version of win version
of windows.

3 Integrated HDL verification with the lite version of the ISE s imulator (ISim).

2.4 BLOCK DIAGRAM

Fig 2.3: Block Diagram


The machine can accept the coins of one rupee, two rupees and five rupees in
any possible sequence. There are coin slots and it commonly connected to the FPGA. User
interface is used for coin dispense and product dispense. Relay is used to control the product
dispatch. The program has written on KCPSM3 processor and downloads into the FPGA
Spartan-3A kit by using ELBERT configuration for selecting products, coin sum and balance
and it will be display on LCD.

2.5 XILINX -3A FPGA


The development board features Xilinx XC3S50A 100 pin FPGA with maximum
68 user IOs. USB2 interface provides fast and easy configurat ion download to the on-board SPI
flash. ELBERT features a stable clock source which is derived from on board configuration
controller. ELBERT incorporates LEDs and switches for a curious user to get started w ith his
“Hello World” program in a matter of minutes.
2.6 COIN DISCREMINATOR

Fig 2.4 Schematic of coin discriminator


When a coin is introduced into the slot, it falls vertically and first hits an
anvil, rolling down a short ramp of about ten centimeters. The sensors are located along this
path, and their signals have to be processed to decide if the coin is good or a fake before it
reaches the end of the ramp, where the coin is driven to the storage or returned to the
customer.
The role of the sensors is to measure physical properties of the coins, such as dimensions,
conductivity, magnetic permeability, elasticity, etc., and even the existence or not of relief.
Only the diameter of the coin, actually its secant, can be directly measured, while for the
remaining parameters only indirect information is obtained. This is not a limitation, since
what is really needed is to have for each coin a set of parameters, sufficiently large so that,
even considering their drifts (due to aging, sensor accuracy, coin trajectory, etc.)
CHAPTER 3 :

RESULTS & DISCUSSIONS


The user wants to purchase Water product, then the user needs to press Wi
button. In case the product can be found, the machine will get into the waiting state from
initial state. Then, the machine will expect for the coins to be entered. The user inserts 75
cents (Qin 30), the machine will enter into waiting state and the selected product is delivered.
Figure 5 shows the output product. The user wants to purchase Cola product, then the user
needs to press Ci button. In case the product can be found, the machine will get into the
waiting state from initial state. Then, the machine will expect for the coins to be entered. The
user inserts 75 cents (Qin 30), the machine will enter into waiting state and the product is
delivered. 25 cents are returned through Qo. Figure 6 shows the output product .

if the user wants to purchases Cola product but two different coins are inserted (Qin 10 and
Qin 20) which represent 25 coins + 50 coins, then the user need to press Ci button. In case the
product can be found, the machine will get into waiting state. Then the user inserts (Qin 10
and Qin 20), the machine will get into waiting state and the selected product is delivered. 25
cents are returned through Qo. Figure 7 shows the output product. If the user wants to
purchases orange Juice product, then the user needs to press Bi button. In case, the product
can be found, the machine will get into waiting state. Then the user inserts 75 cent (Qin 10),
the user wants to cancel this purchase, the user needs to push Cr button and then the money
will be returned through CRo. The proposed FPGA based vending machine with altera
cyclone II FPGA device has a switching speed of (9.1 ns) compared to CMOS based machine
which has switching speed of (400 ns). The total power consumption can be calculated for the
hardware model of the suggested machine in the future study.
CHAPTER 4 :

ADVANTAGES / DISADVANTAGES & APPLICATIONS


APPLICATIONS
1 Vending machines give free decision for clients to buy items whenever of the day.

2 Vending machine have the mobility, they can moved to the new places.

3 Wide territory items can be sold utilizing the machine as beverages, espressos, and organic
products among different items.

ADVANTAGES
1 Overhead cost diminishes by procuring of staff.

2 Vending machine work for 24 hr/day 365 days a year, they does not take long time you
need short time to keep them serviced and stocked with the products.

3 Vending machine only need the investment in the vending equipment, they do not have the
advertisement and marketing cost .

LIMITATIONS
1 No bargaining option will be given to the customer.

2 There is great losses for the investor who faces the cases of destruction of the machine .
CHAPTER 5 :

CONCLUSION & FUTURE SCOPE


CONCLUSION
The intended designed of vending machine based on the FPGA is carry out by
using a Finite State Machine and simulated using Xilinx Vivado 2019.1. The design is
verified in the BASY-3 development board. The code works as a user-friendly machine and
the code can actually provide a variety of options to the users. State machine-based vending
escalate productivity, lower the system development cost. The vending machine gives quick
responses and can be easily operated by even an ordinary person. The proposed vending
machine can be utilized in many applications and the users can very well manipulate the
number of selections of product based on requirement.

FUTURE SCOPE
According to Forbes, the future of vending machine is so smart that these
machines will be able to use facial recognition. In fact, the machine will be able to greet
customers and even refuses to sell an item to a customer based on age or medical records.

REFERENCE
[1] R. Kiran Kumar, “FSM Based Design on the Replication of one-hot code using Verilog
HDL,” Global Jouranl of Advanced Engineering Technologies, Vol.2, Issue-3, 2013.

[2] Abishek Luthra, “Design and Implementation of Vending Machine using Verilog HDL on FPGA,”
International Journal of Innovative Research in Science, Engineering and Technology, Vol.4, Issue-11,
November, 2015.

[3] Ana Monga, Balwinder Singh, Academic and Consultancy-Services Division, Centre for
Development of Advanced Computing (C-DAC), Mohali, India, “Finite State Machine based Vending
Machine Controller with Auto-Billing Features,” International Journal of VLSI design and
Communication Systems (VLSICS), Vol.3, No.2, April 2012.

[4] Muhammad Ali Qureshi, Abdul Aziz, Hafiz Faiz Rasool, Muhammad Ibrahim, Usman Ghani,
Hasnain Abbas, “Design and Implementation of Vending Machine using Verilog HDL,” 2nd
International Conference on Networking and Information Technology, IPCSIT, Vol.7, 2011.

[5] Ana Monga, Balwinder Singh, “Finite State Machine based Vending Machine Controller with
Auto-billing Features,” International Journal of VLSI Design and Communication Systems, Vol.3, No.2,
April, 2012.

[6] Ashwag Alrehily, Ruquiah Fallatah, Vijey Thayananthan, “Design of Vending Machine using Finite
State Machine and Visual Automata Simulator,” International Journal of Computer Applications,
Vol.115, No.18, April, 2015.
[7] B. Jyothi, I. Sarah, A. Srinivas, “Implementation of FPGA based Smart Vending Machine,”
International Journal of Engineering Research and Applications, National Conference on Engineering
Developments, Advances and Trends, January, 2015.

[8] Chexel D. Augustin, Sarah Grace P. De Castro, Paul Jonathan B. Dimaano, Sarah Dyan S. Garraton,
Rionel Belen Caldo, “Password Protected Vending Machine with Moore Finite State Machines using
Verilog,” Laguana Journal of Engineering and Computer Sciences, Vol.3, No.2, March 2016.

[9] Ritika Kalihari, Toran Verma, Alka Jaiswal, “Concept of Automated Machine using
Mealy,”International Journal of Computer Applications Technology and Research, Vol.2, Issue- 3,
pp.335-339, 2013.
RAO BAHADUR Y. MAHABALESWARAPPA ENGINEERING COLLEGE, BALLARI
DEPARTMENT OF ELECTRONICS &COMMUNICATIONENGINEERING
Mini Project 2020-21

Name of the Staff: Mrs. GIRIJAVANI G / Mrs. ANITHA A


Course Name: Mini-Project
Course Code: 18ECMP68 Sem: 6B Year 2020-21

Course outcomes for Mini Project [18ECMP68]


At the end of the course, students will be able to

C316.1 Identify and analyze the new technology in the field of Engineering.

C316.2 Design and develop prototype for identified problem using modern tools.

C316.3 Analyze social, health & safety issues to provide valid conclusion.

C316.4 Develop Communication skill, Presentation skill and Teamwork.

CO-PO/PSO Mapping
PO PO PO PO PO PO PO PO PSO PSO
PO 1 PO 2 PO 3 PO 4
5 6 7 8 9 10 11 12 1 2
C316.1 3 3 3

C316.2 3 3 3 2 3 2 2

C316.3 3 3 2 2 2 3 2 3

C316.4 3 3 3 3 3 3 3 3 3 3 3 3 3 3

AVG 3 3 2.66 2.33 2.66 3 3 3 3 2.5 3 3 2.5 2.5

Mini project coordinators HOD ECE


RAO BAHADUR Y. MAHABALESWARAPPA ENGINEERING COLLEGE, BALLARI
DEPARTMENT OF ELECTRONICS &COMMUNICATIONENGINEERING
Mini Project 2020-21

JUSTIFICATION OF CO-PO Mapping:

Action
CO PO BTL Mapping Justification
Verbs

Apply the knowledge of science & engineering to provide


PO1 L2, 2
solutions for complex engineering
316.1
L4 Identify
PO2 2 Identify & formulate research problem through literature survey
Analyze

PO3 3 Design solutions for complex engineering problems & develop


the prototype

Apply appropriate techniques & modern tools for modeling


PO5 3
identified problem
316.2 L5
PO12 3 Able to engage in independent & life long Design
PSO1 3 Able to design, develop their prototype model Develop

PSO2 3 Develop programming & problem solving skills in field of chosen


domain

PO4 3 Able to analysis & interpret the data to provide valid conclusions.
L3,
Analyze
316.3 PO6 3 Apply the knowledge to assess social, health & safety issues
L4, Interpret
during development of prototype
Assess
L6
PSO1 3 Able to design, develop their prototype model

PO8 3 Apply ethical principles in identifying & implementation of the


problem.

PO9 3 Function effectively as an individual & as a team


L3, Communicate effectively, write effective reports & give effective
316.4 PO10 3 Develop
L5 presentation. Demonstrate

Applying management principles, manage projects in


PO11 3
multidisciplinary environments and effectively utilize the budget

PO12 3 Able to engage in independent & life long

Guide Signature Coordinator Signature

You might also like