You are on page 1of 1

ENTITY ejemplo2_5 IS

PORT (a, b, c: IN bit;


salida: out bit);

END ejemplo2_5;

ARCHITECTURE flujo1 OF ejemplo2_5 IS


SIGNAL ax, bx, noc : bit;
BEGIN
noc <= NOT c;
ax <= a AND noc;
bx <= b AND c;
salida<= ax OR bx;
END flujo1;

PINES

PIN_AB28

PIN_AC28
PIN_AC27
PIN_AD27
PIN_AB27
PIN_AC26

ENTITY ejemplo_5 IS
PORT (

a, b, c: IN bit;
salida: OUT bit
);

END ejemplo_5;

ARCHITECTURE data_flow OF ejemplo_5 IS


BEGIN
salida <= ( (NOT c) AND a ) OR (c AND b);
END data_flow;

You might also like