You are on page 1of 9

316 IEEE SENSORS JOURNAL, VOL. 6, NO.

2, APRIL 2006

Fabrication and Testing of Bulk Micromachined


Silicon Carbide Piezoresistive Pressure Sensors
for High Temperature Applications
Chien-Hung Wu, Member, IEEE, Christian A. Zorman, and Mehran Mehregany, Senior Member, IEEE

Abstract—This paper explores the development of high-temper- tems such as gas turbine, internal combustion, and diesel en-
ature pressure sensors based on polycrystalline and single-crys- gines require harsh environment capability, in particular oper-
talline 3C-SiC piezoresistors and fabricated by bulk microma- ating temperatures of 500 C. Pressure sensing is enabling
chining the underlying 100-mmdiameter (100) silicon substrate.
In one embodiment, phosphorus-doped APCVD polycrystalline in such applications where the desire is to tune the combustion
3C-SiC (poly-SiC) was used for the piezoresistors and sensor process for performance, efficiency, and emissions.
diaphragm, with LPCVD silicon nitride employed to electrically This paper explores the development of high-temperature
isolate the piezoresistor from the diaphragm. These piezoresistors pressure sensors based on polycrystalline and single-crystalline
fabricated from poly-SiC films deposited at different temperatures 3C-SiC piezoresistors and fabricated using bulk microma-
and doping levels were characterized, showing 2.1 as the best
gauge factor and exhibited a sensitivities up to 20.9-mV/V psi at chining of the underlying 100-mmdiameter (100) silicon
room temperature. In a second embodiment, epitaxially-grown substrate. The approach takes advantage of established silicon
unintentionally nitrogen-doped single-crystalline 3C-SiC piezore- bulk micromachining techniques to explore the SiC’s high-tem-
sistors were fabricated on silicon diaphragms, with thermally perature piezoresistive capability.
grown silicon dioxide employed for the piezoresistor electrical
isolation from the diaphragm. The associated 3C SiC/SiO2 /Si
substrate was fabricated by bonding a (100) silicon wafer carrying II. BACKGROUND
the 3C-SiC onto a silicon wafer with thermal oxide covering its
3C-SiC is a particularly attractive SiC polytype since it
surface. The 3C-SiC handle wafer was then etched away in KOH.
The diaphragm was fabricated by time etching the silicon sub- can be epitaxially grown on large diameter (100) silicon sub-
strate. The sensors were tested at temperatures up to 400 C and strates, thus allowing for the use of the existing silicon bulk
exhibited a sensitivity of 177.6-mV/V psi at room temperature micromachining techniques in device fabrication. Unfortu-
and 63.1-mV/V psi at 400 C. The estimated longitudinal gauge nately, the lattice and thermal mismatches between silicon
factor of 3C-SiC piezoresistors along the [100] direction was
and 3C-SiC lead to 3C-SiC films which have a high density
estimated at about 18 at room temperature and 7 at 400 C.
of defects, especially near the SiC/Si interface. As a result,
Index Terms—High-temperature sensors, polycrystalline SiC, the heterojunction formed at the SiC/Si interface suffers from
pressure sensor, single-crystalline 3C-SiC, wafer bonding.
unacceptably high leakage currents (in particular) at elevated
temperatures. This shortcoming in turn prevents effective
I. INTRODUCTION junction isolation of 3C-SiC piezoresistors fabricated directly
on silicon. One approach to reduce heterojunction leakage is
S ILICON CARBIDE (SiC) has long been recognized for its
excellent mechanical properties, chemical inertness, radi-
ation resistance, high-temperature stability, high thermal con-
to use thin silicon-on-insulator (SOI) wafers as substrates for
3C-SiC growth, tuning the growth to carbonize the entire thin
silicon layer to create 3C SiC/SiO /Si substrates. In this way,
ductivity, and wide bandgap. These properties make semicon-
dielectrically isolated 3C-SiC piezoresistors can be fabricated
ductor-grade SiC an exceptional material for harsh environment
on silicon diaphragms by bulk micromachining the (100) sil-
microsystems where sensors and actuators have to endure high
icon substrate to create pressure sensors [4]. However, in this
operating temperatures, corrosive/erosive media, large shocks,
approach, the thin silicon layer of the SOI substrate is often not
and/or high radiation levels [1]–[3]. For example, monitoring
completely converted to 3C-SiC, thus creating hybrid SiC/Si
and affecting combustion processes in power generation sys-
piezoresistors. An ideal implementation of such dielectrically
isolated 3C-SiC piezoresistors would have the consequent
3C SiC/SiO /Si structure absent of any 3C-SiC/Si interfaces.
Manuscript received October 7, 2004; revised January 5, 2005. This work
was supported by DARPA under Grant DABT63-98-1-0010. The associate ed- With this 3C SiC/SiO /Si substrate, which is demonstrated
itor coordinating the review of this paper and approving it for publication was here through 3C-SiC epitaxial growth, wafer bonding, and etch
Prof. Pavel Ripka. back, a pressure sensor consisting of dielectrically isolated
C.-H. Wu is with the Department of Materials Science and Engineering, Case
Western Reserve University, Cleveland, OH 44106 USA, and also with the FLX 3C-SiC thin film piezoresistors on a bulk micromachined
Micro, Inc., Cleveland, OH 44122 USA (e-mail: wu@flxmicro.com). single-crystalline (100) silicon diaphragm can be fabricated as
C. A. Zorman and M. Mehregany are with the Department of Electrical En- described below. The sensor transducer being made of silicon is
gineering and Computer Science, Case Western Reserve University, Cleveland,
OH 44106 USA (e-mail: christian.zorman@case.edu; mehran@case.edu). of course limited in operating temperature since silicon begins
Digital Object Identifier 10.1109/JSEN.2006.870145 to undergo significant softening above 600 C.
1530-437X/$20.00 © 2006 IEEE
WU et al.: FABRICATION AND TESTING OF BULK MICROMACHINED SILICON CARBIDE PIEZORESISTIVE PRESSURE SENSORS 317

Fig. 1. Cross-sectional schematic diagrams describing the fabrication process for pressure sensors with poly-SiC piezoresistors and diaphragms: (a) after poly-SiC
deposition, (b) after metallization, (c) after diaphragm fabrication.

Alternatively, unlike single-crystalline 3C-SiC, polycrys-


talline SiC (poly-SiC) can be deposited by chemical vapor
deposition (CVD) directly on electrically insulating layers like
SiO and Si N [2], [5], [6]. These insulators can then serve
as either sacrificial layers or provide electrical isolation of the
silicon substrate. Based on this technology, both single- and
multilayer surface micromached poly-SiC microelectrome-
chanical systems (MEMS) devices such as lateral resonators [7]
and micromotors [8] have been fabricated, with demonstrated
operation at temperatures well above 400 C. Based on this
technology, poly-SiC piezoresistors electrically isolated from
poly-SiC diaphragms by low pressure chemical vapor deposi-
tion (LPCVD) silicon nitride films are used here in conjunction
with bulk micromachining of the (100) silicon substrate to
fabricate pressure sensors, primarily motivated by eliminating
the need for wafer bonding and secondarily to go beyond the
high-temperature mechanical limit of a silicon diaphragm.

Fig. 2. Cross-sectional schematic diagrams describing the SiC lift-off


III. PRESSURE SENSOR FABRICATION PROCESS patterning process using SiO masks: (a) formation of the SiO mask,
(b) deposition of SiC in and on the mask, and (c) dissolving the SiO mask in
A. Pressure Sensors With Poly-SiC Piezoresistors and BOE to form a SiC patterned structure.
Diaphragms
Fig. 1 shows cross-sectional schematic diagrams of the fab- flow rate of 65 sccm, and a H flow rate of 25 slm in an atmo-
rication process for this embodiment. A double-side polished, spheric pressure chemical vapor deposition (APCVD) reactor
525- m-thick, 100-mm-diameter (100) silicon wafer was used described in detail elsewhere [9]. The deposition rate using this
as the substrate. A five-mask process was designed for fabri- source gas mixture is about 1.5 m per hour. In this reactor,
cation of these sensors. Fabrication began with deposition of a poly-SiC deposits only on the front side of the wafer, thus fa-
10- m-thick poly-SiC film on the silicon substrate at a temper- cilitating backside processing of the wafer. The poly-SiC film
ature of 1050 C using a SiH flow rate of 153 sccm, a C H was then polished down to a mirror finish using a SiC slurry.
318 IEEE SENSORS JOURNAL, VOL. 6, NO. 2, APRIL 2006

TABLE I
DEPOSITION CONDITIONS AND TEST RESULTS FOR POLY-SiC FILMS USED FOR PIEZORESISTOR FABRICATION

A 0.2- m-thick Si N was deposited on the poly-SiC film by used to grow the 3C-SiC film. The as-grown 3C-SiC films
conventional LPCVD for electrical isolation [Fig. 1(a)]. are unintentionally nitrogen doped n-type; therefore, inten-
The SiC lift-off patterning process in Fig. 2 was used to tional doping was not performed. Following 3C-SiC growth,
create polycrystalline SiC piezoresistors on the Si N layer, a polysilicon film was deposited on the 3C-SiC surface and
utilizing distinct differences in SiC film morphology on SiO then thermally oxidized until completely consumed to form a
and Si N . A 1.5- m-thick low-temperature oxide (LTO) film 1- m-thick SiO film on the top of 3C-SiC surface. In addi-
was deposited on the Si N layer, then densified by annealing tion, another 0.5- m-thick thermal SiO film was grown on a
at 1100 C for 1 h. The piezoresistor molds were patterned into different silicon “device” wafer. The SiO surfaces on both the
this densified LTO layer by conventional photolithography and handle and device wafers were then polished by chemical-me-
wet oxide etching [Fig. 2(a)]. After removing the photoresist, a chanical polishing to a mirror finish. In order to overcome the
0.5- m-thick poly-SiC film was deposited by APCVD on the characteristic handle wafer warpage caused by the thermal
wafer from which to fabricate the piezoresistors [Fig. 2(b)]. mismatch between 3C-SiC and Si after SiC film growth, the
In order to explore the effect of microstructure and doping on silicon handle wafer was intentionally thinned to 250 m using
the gauge factor of poly-SiC, three different films deposited backside lapping and polishing followed by KOH etching to
under different temperature and doping conditions were pur- produce a more compliant substrate for wafer bonding. After
sued. Table I outlines the deposition temperature and doping chemical cleaning of the SiO surfaces, the handle wafer was
condition in each case. bonded to the device wafer, which was held during the process
The wafer was then dipped in buffered HF to remove the by a vacuum chuck. The bonded pair was annealed at 1100 C
LTO molds, lifting off the unwanted, porous poly-SiC on the for 1 h to form a strong SiO to SiO fusion bond [Fig. 3(a)].
LTO mold and leaving the well-nucleated poly-SiC on the The handle wafer was then etched away in KOH leaving the
Si N layer in the desired pattern [Fig. 2(c)]. After forming exposed 3C-SiC film on the Si device wafer, but electrically
the piezoresistors, the wafer was coated with a 200-nm-thick isolated from the diaphragm by a 1.5-mm-thick SiO layer. The
LTO layer for passivation. After opening contact windows for 3C SiC/SiO /Si substrate was then used for the fabrication of
piezoresistors in this passivation LTO layer, a 0.5- m-thick the pressure sensor. The bonding and etch back areal yield was
nickel layer was sputtered and patterned to form a Wheatstone 75% to 85%, as shown in the optical photo in Fig. 4.
bridge circuit by connecting the four piezoresistors [Fig. 1(b)]. Since the piezoresistive effect in semiconductors is highly
Finally, the poly-SiC diaphragms were realized by KOH etching anisotropic and exhibits a dependence on the dopant type,
from the backside of the (100) silicon wafer using the LPCVD dopant concentration, and crystal orientation, the dependence
silicon nitride film on the back side as etch mask [Fig. 1(c)]. on crystal orientation requires that the wafers be bonded with
The back side KOH etch self terminates on the 10- m-thick proper alignment to realize piezoresistors with maximum stress
poly-SiC layer since SiC is essentially inert to KOH at the sensitivity. Similar to n-type silicon, n-type SiC has its largest
typical bulk micromachining wet etch temperatures. piezoresistive coefficient along the directions [11]. In
order to obtain [100] oriented 3C-SiC piezoresistors that are po-
B. Pressure Sensors With 3C-SiC Piezoresistors and Silicon sitioned perpendicular or parallel to the anisotropically-etched
Diaphragms [110] oriented silicon diaphragm edges, it was necessary to
Fig. 3 shows cross-sectional schematic diagrams of the bond the handle and device wafers with their primary flats
fabrication process for this embodiment. The 3C SiC/SiO /Si oriented at a 45 angle with respect to each other, as shown in
substrate used to realize this embodiment is fabricated as Fig. 5.
follows (a schematic overview of this substrate fabrication The same five masks and, essentially, the same process in
process, as well as additional details are presented elsewhere Section III-A was used for the pressure sensor fabrication. The
[10]). Substrate fabrication begins with heteroepitaxial growth 3C-SiC film was patterned into piezoresistors using reactive ion
of a 0.5- m-thick single-crystalline 3C-SiC film by APCVD on etching (RIE). A 0.5- m-thick sputtered Al film was used as the
a 100-mm-diameter silicon “handle” wafer ( 550 m thick) RIE etch mask and patterned by standard photolithography and
using SiH and C H as the source gases and hydrogen as the wet chemical etch. A mixture of CHF O He gases was used
carrier gas. A two-step process described elsewhere [9] was in the SiC RIE step. After realizing the piezoresistors, the Al
WU et al.: FABRICATION AND TESTING OF BULK MICROMACHINED SILICON CARBIDE PIEZORESISTIVE PRESSURE SENSORS 319

Fig. 3. Cross-sectional schematic diagrams describing the fabrication process for pressure sensors with single-crystalline 3C-SiC piezoresistors and silicon
diaphragms: (a) after wafer bonding, (b) after metallization, and (c) after diaphragm fabrication.

Fig. 5. Plan-view schematic showing the relative orientation of the bonded


wafers for the sensors in Fig. 3.
0
Fig. 4. Photograph of a 3C SiC/SiO /Si substrate fabricated by epitaxial
3C-SiC growth, wafer bonding, and etch back.
even though it is not a suitable high-temperature metal for long
term use. However, it does not affect the ability to evaluate the
etch mask was removed and a 200-nm-thick LTO film was de- 3C-SiC film for its piezoresistive effect. The overetch issue can
posited for passivation of the piezoresistors. Contact windows be overcome with additional process development to allow Ni
were then opened in the passivation LTO to expose the piezore- metallization for application sensors. A 0.5- m-thick Al layer
sistors. Due to a poor etch selectivity of SiC/SiO in the RIE was sputtered, patterned, and etched to create a Wheatstone
process, a large overetch in the underneath SiO layer resulted bridge circuit by connecting the four piezoresistors [Fig. 3(b)].
during patterning of the piezoresistors and caused the confor- Finally, a timed-etch process was used to form 15- m-thick sil-
mity problems in using the sputtered Ni for interconnections. As icon diaphragms of the correct size [Fig. 3(c)]. In order to utilize
a result, Al, which is softer than Ni, was used in the embodiment, the same five masks in this pressure sensor fabrication, it should
320 IEEE SENSORS JOURNAL, VOL. 6, NO. 2, APRIL 2006

be noted that the starting silicon substrate (the device wafer) is


540 , which is 15 thicker than those used in the previous em-
bodiment in order to have similar sensor diaphragm sizes.

IV. EXPERIMENTAL
The performance of the sensors was characterized at room
and elevated temperatures (i.e., up to 450 C). High-tempera-
ture testing was performed in a specially constructed heating
chamber described in detail elsewhere [12]. The sensor chips
were mounted with high-temperature cement onto a stain-
less steel chuck that could be pressurized and de-pressurized
through a 1-mm-diameter hole located at its center. The chuck
was positioned on a boron nitride heater and was connected
to a compressed air supply via a pressure manifold. The flow
of air through the manifold was controlled by a needle valve, Fig. 6. Percentage change of resistance versus temperature for poly-SiC
and air pressure applied to the chuck was measured by a sensor piezoresistors deposited at 1050 C with a phosphine flow rate of 180 sccm.
positioned on the manifold. Argon was used as the ambient
in the chamber to prevent oxidation of the contacts at high the equation show a linear response in the membrane deflec-
temperatures. High-temperature manipulators with tungsten tion, while the third is nonlinear. This mechanical nonlinearity
carbide probes were utilized for contacting the contact pads on can contribute to the final nonlinearity observed in the piezore-
the chip. A DC voltage of 10 V was used as the supply to the sistive pressure sensor response. In the meantime, the maximum
resistor bridge. stress occurs at the center of diaphragm edges [14]

V. RESULT AND DISCUSSION (2)


A. Pressure Sensors With Poly-SiC Piezoresistors and and is proportional to the applied pressure and the square of
Diaphragms . For poly-SiC films used in the pressure sensors in this
In this embodiment, three different deposition temperatures paper, the residual stress and Young’s modulus are 100 MPa
and doping levels were explored for the 0.5- m-thick poly-SiC and 308 GPa, respectively [15]. Poisson’s ratio, , for poly-SiC
films comprising the piezoresistors per Section III-A. In all is estimated to be 0.168 [16]. For a m size and
cases, 10- m-thick poly-SiC deposited was used for sensor di- 10- m-thick poly-SiC diaphragm under a pressure of 55 psi,
aphragm. Table I summarizes the deposition conditions and the the estimated nonlinearity from the mechanical response is
testing results for the three different poly-SiC piezoresistors. about 3.3%, which is caused by pressure loading beyond the
In the first prototype, poly-SiC piezoresistors were fabricated small deflection regime . However, this estimate
from films deposited at 1050 C with a phosphine gas flow rate is smaller than what has been measured. An additional source
of 180 sccm. The average resistivity of polycrystalline SiC films of nonlinearity in the piezoresistive pressure sensor with the
was 1.34 cm. The estimated gauge factor for these poly-SiC full Wheatstone bridge is from piezoresistor positions deviating
piezoresistors was about 0.4. As shown Fig. 6, the change from the diaphragm edge location, causing an imbalance in
in resistance as a function of temperature for these poly-SiC the sensitivities of the different resistors [17]. Ideally, the
piezoresistors decreases with increasing temperature. The tem- sensors were designed to have all resistors located at the same
perature coefficient of resistance (TCR) is C at 25 C position with respect to each diaphragm edge location. Varia-
and decreases to C at 450 C. A typical trace of tions in substrate thickness and back-side alignment accuracy
output voltage versus applied pressure at room temperature from in defining the diaphragm etch mask leads to piezoresistor
a m diaphragm sensor is shown in Fig. 7. The sen- position inaccuracy.
sitivity is approximately 12- V/V psi at room temperature and The second prototype used piezoresistors made from
the end-point nonlinearity is 8.3% of the full scale output (FSO). poly-SiC films deposited at 1280 C with a phosphine gas
The load-deflection behavior of a square diaphragm can be flow rate of 90 sccm. As expected, the average resistivity of
described approximately as [13] the film was higher (4.16 cm); however, the gauge factor
in this case was only slightly improved at about 1.8. For
the same m diaphragm sensors, the maximum
output voltage was 9.3 mV for the supply voltage of 10 V. The
(1) sensitivity is approximately 16.9- V/V psi, and the end-point
nonlinearity is 7.1% of FSO. The trend of resistance decrease
where is an applied pressure, is Young’s modulus, is with temperature increase was similar to that in the first pro-
the diaphragm thickness, is half of the edge length of the di- totype. The TCR is C at 25 C and decreases to
aphragm, is the in-plane Poisson’s ratio, is the diaphragm C at 450 C.
center deflection, and is the film residual stress. Under an In the third prototype, the poly-SiC piezoresistors were
applied pressure, the first two terms on the right hand side of fabricated from films deposited at 1280 C with no phosphine
WU et al.: FABRICATION AND TESTING OF BULK MICROMACHINED SILICON CARBIDE PIEZORESISTIVE PRESSURE SENSORS 321

Fig. 7. Output voltage versus pressure at room temperature for a 750 2 750 m diaphragm pressure sensor with poly-SiC piezoresistors. The piezoresistors
were fabricated from doped poly-SiC films in Fig. 6.

gas flow. The average resistivity of these films was 8.33 cm The experimental observation of small gauge factors in the
due to the presence of unintentional nitrogen doping. The gauge poly-SiC films can be explained based on the influence of the
factor was still poor at about 2.1. For the same m grain boundaries on the piezoresistive effect [18]. In general, the
diaphragm sensors, the maximum output voltage was 11.5 mV, total resistance of polycrystalline materials is a function of resis-
for a supply voltage of 10V. The sensitivity was approximately tances from grain boundaries and grains. In addition, the gauge
20.9- V/V psi, and the end-point nonlinearity was 7.0% of factor in polycrystalline materials is affected by the piezore-
FSO. The trend of resistance decrease with temperature in- sistive effects of both grain boundaries and grains. At higher
crease was similar to those of the first and second prototypes. doping levels and smaller grain sizes, the piezoresistive effect
The TCR was C at 25 C and C at 450 C. can be dominated by the grain boundary effects. Unfortunately,
Because of the poor gauge factors in all three polycrystalline there is a lack of understanding in the literature regarding the
SiC piezoresistors, no testing was conducted to evaluate the dependence of the piezoresistive effect on grain boundary char-
sensor performance at higher temperatures. acteristics resulting from deposition and doping conditions. Per-
The dependence of the piezoresistive effect in poly-SiC on haps different doping types influence the properties of the grain
crystal orientation, doping type, and doping level has been boundaries differently. This could explain why negative gauge
studied by several other groups [18], [19]. The gauge factors factors were observed in this work in contrast to work by others
observed from these studies range from 2.5 to 10. Strass, as noted above. However, further research will be needed to
et al. [18] characterized the piezoresistive effect of updoped, verify the cause.
nitrogen, and boron doped polycrystalline 3C-SiC films and
found only positive gauge factors, but also observed negative B. Pressure Sensors With 3C-SiC Piezoresistors and Silicon
gauge factors in single-crystalline n-type 3C-SiC films. Homma Diaphragms
et al. [19] studied the piezoresistive effect in PECVD p-type Single-crystalline 3C-SiC piezoresistors were fabricated
3C-SiC films with no preferred orientation and found the gauge using 0.5- m-thick undoped monocrystalline films as de-
factor to be about 6. In our work, all three poly-SiC piezore- scribed in Sectrion III-B. These films are unintentionally
sistors are n-type (i.e., phosphorus for the first two prototypes nitrogen (n-type) doped, which has its largest piezoresistive
and nitrogen for the third) and have negative gauge factors with coefficient along the [100] direction. With a 45 rotation of the
significantly smaller absolute values. bonded wafers as described in Section III-B, single-crystalline
The microstructures of poly-SiC films deposited by APCVD 3C-SiC pressure sensors can have [100] oriented piezoresistors
have been reported elsewhere [12] and showed enlarged grain either parallel or perpendicular to the [110] oriented diaphragm
size and an enhancement in the preferred orientation of the films edges (Fig. 4). Hence, sensors made from this 45 bonded
with increasing deposition temperatures. The poly-SiC films de- wafer have much higher sensitivity than those made without
posited at 1280 C show a (111) preferred crystalline orienta- rotation in wafer bonding [10]. The magnitude of the gauge
tion on the substrates, while the films deposited at 1050 C show factor was found to be about 18 for the 3C-SiC piezoresistors
a random oriented fine-grain structure. As should be expected, along the [100] direction, which is in close agreement with
the gauge factor increases with increasing the deposition tem- strain gauge measurement using cantilever beams [20]. The
perature through the enlargement of grain size and crystal ori- gauge factor decreases with increasing temperature and is only
entation enhancement. In general, the three films studied here 7 at 400 C.
are consistent with expected trends from microstructure and Two different pressure sensor piezoresistor position config-
resistivity. urations were designed and tested in this work. One configu-
322 IEEE SENSORS JOURNAL, VOL. 6, NO. 2, APRIL 2006

2
Fig. 9. Output voltage versus pressure and temperature for a 750 750 m
diaphragm pressure sensor with single-crystalline 3C-SiC piezoresistors
configured as in Fig. 8(a).

Fig. 8. Optical photos of two pressure sensors with 3C-SiC piezoresistors


having: (a) four resistors placed on the diaphragm edges and (b) two resistors
on the diaphragm edges and the other two at the center of the diaphragm. Fig. 10. Percentage change of resistance versus temperature for 3C-SiC
In both cases, the resistors are connected in a Wheatstone bridge. piezoresistors.

ration relies on placing the bridge piezoresistors near the di- is about C at 25 C and decreases to C at
aphragm edges, two parallel to their corresponding edges and 450 C.
two perpendicular. An optical micrograph of this sensor design In the second configuration [Fig. 8(b)], for a m
is shown in Fig. 8(a). The second configuration relies on two diaphragm sensor, the sensitivity is 177.6- V/V psi at room
piezoresistors near the edges of the diaphragm and oriented per- temperature. The high-temperature performance of the sen-
pendicular to their corresponding edges and the other two right sors was also characterized and shows a sensitivity decrease
at the center of the membrane as shown in Fig. 8(b). In latter with increasing temperature. The sensitivity is reduced to
configuration, higher sensitivity is expected because two of the 63.1- V/V psi at 400 C (see Fig. 11). However, the output
piezoresistors experience compressive stresses, while the other voltage versus pressure has better nonlinearity and is 1.7% of
two tensile stresses. FSO, which is closer to the estimated nonlinearity from the
In the first configuration [Fig. 8(a)], output voltage versus ap- mechanical response. By positioning two piezoresistors right at
plied pressure for a m diaphragm sensor at room the center of the membrane, the bridge misalignment effect is
and elevated temperatures is shown in Fig. 9. The sensitivity less pronounced.
of the sensor decreases with increasing temperature, changing The measured gauge factor of 18 along the [100] direc-
from 101.5- V/V psi at room temperature to 53.4- V/V psi at tion for single crystalline 3C-SiC (100) films is somewhat
385 C. The end-point nonlinearity is 4.1% of FSO. Using (1) smaller than the previous reported results [4], [11]. Less strain
and (2), the m size and 15- m-thick Si diaphragm sensitivity in single-crystalline 3C-SiC films may be related
is estimated to exhibit a mechanical nonlinearity of 1.4% under a to its crystalline quality. The thickness of 3C-SiC film used
pressure of 72 psi. A resistance decrease with increasing temper- as piezoresistors in this work is only 0.5 m, as compared to
ature was also observed in these sensors (see Fig. 10). The TCR 2 m or more used in the referenced work. A high density of
WU et al.: FABRICATION AND TESTING OF BULK MICROMACHINED SILICON CARBIDE PIEZORESISTIVE PRESSURE SENSORS 323

[5] C. A. Zorman, S. Rajgopal, X. A. Fu, R. Jezeski, Z. Melzak, and


M. Mehregany, “Deposition of polycrystalline 3C-SiC films on 100
mm diameter (100) Si wafers in a large-volume LPCVD furnace,”
Electrochem. Solid State Lett., vol. 5, pp. G99–G101, 2002.
[6] C. H. Wu, C. A. Zorman, and M. Mehregany, “Growth of polycrys-
talline SiC films on SiO and Si N by APCVD,” Thin Solid Films, vol.
355–356, pp. 179–183, 1999.
[7] A. J. Fleischman, X. Wei, C. A. Zorman, and M. Mehregany, “Surface
micromachining of polycrystalline SiC deposited on SiO by APCVD,”
Mater. Sci. Forum, vol. 264–268, pp. 885–888, 1998.
[8] A. A. Yasseen, C. H. Wu, C. A. Zorman, and M. Mehregany, “Fabri-
cation and testing of surface micromachined polycrystalline SiC micro-
motors,” Electron Device Lett., vol. 21, no. 4, pp. 164–166, 2000.
[9] C. A. Zorman, A. J. Fleischmann, A. S. Dewa, M. Mehregany, C. Jacob,
S. Nishino, and P. Pirouz, “Epitaxial growth of 3C-SiC films on 4 in.
diam (100) silicon wafers by atmospheric pressure chemical vapor de-
position,” J. Appl. Phys., vol. 78, pp. 5136–5318, 1995.
[10] C. H. Wu, S. Stefanescu, H. I. Kuo, C. A. Zorman, and M. Mehregany,
“Fabrication and testing of single crystalline 3C-SiC piezoresistive pres-
Fig. 11. Output voltage versus pressure for a 750 2750 m diaphragm
sure sensors,” in Proc. Transducers, 2001, pp. 514–517.
[11] J. S. Shor, D. Goldstein, and A. D. Kurtz, “Characterization of n-type
pressure sensor with single-crystalline 3C-SiC piezoresistors configured as in
Fig. 8(b).
-SiC as a Piezoresistor,” IEEE Trans. Electron Devices, vol. 40, no. 6,
pp. 1093–1099, Dec. 1993.
[12] C. H. Wu, “Growth and Characterization of Silicon Carbide for MEMS
pressure Sensors,” Ph.D. dissertation, Dept. Mater. Sci. Eng., Case
Western Reserve Univ., Cleveland, OH, Jan. 2001.
crystalline defects near the SiC/Si interface has usually been [13] M. Elwenspoek and R. Widgerink, Mechanical Microsensors. New
York: Springer-Verlag, 2001, pp. 82–83.
observed in single-crystalline 3C-SiC films grown by CVD. [14] S. M. Sze, Semiconductor Sensors. New York: Wiley, 1997.
The density of these planar defects tends to decrease as the film [15] J. S. Mitchell, “Characterization of Mechanical Properties of Cubic Sil-
thickness increases [9]. It is presumed that a thinner film used icon Carbide Thin Films Deposited onto Silicon,” M.S. thesis, Dept.
in this work may have a higher density of defects, which would Mech. Eng., Case Western Reserve Univ., Cleveland, OH, Sep. 2000.
[16] W. R. L. Lambrecht, B. Segall, M. Methfessel, and W. van Schilfgarrde,
impair the stain sensitivity comparatively. “Calculated elastic constants and deformation potentials of cubic SiC,”
Phys. Rev. B, vol. 44, pp. 3685–3694, 1991.
[17] S. Marco, J. Samitier, O. Ruiz, J. R. Morante, and J. Esteve, “High-
VI. CONCLUSION performance piezoresistive pressure sensors for biomedical applications
using very thin structured membranes,” Meas. Sci. Technol., vol. 7, pp.
1195–1203, 1996.
This work explores the development of pressure sensors [18] J. Strass, M. Eickhoff, and G. Kroetz, “The influence of crystal quality
based on poly-SiC and 3C-SiC piezoresistors. In the former, on the piezoresistive effect of -SiC between RT and 450 C measured
the sensor diaphragm is also made of poly-SiC, while in the by using microstructures,” in Proc. Int. Conf. Solid State Sensors and
Actuators, 1997, pp. 1439–1442.
latter the sensor diaphragm is made of (100) silicon. In both [19] T. Homma, K. Kamimura, H. Y. Cai, and Y. Onuma, “Preparation of
cases, the diaphragms are fabricated by bulk etching of the polycrystalline SiC films for sensors used at high temperature,” Sens.
(100) silicon substrate from the back side. While the poly-SiC Actuators A, vol. 40, pp. 93–96, 1994.
[20] H. I. Kuo, C. A. Zorman, and M. Mehregany, “Fabrication and testing
technology eliminated the need for wafer bonding present in of single crystalline 3C-SiC devices using a novel SiC-on-insulator sub-
the 3C-SiC technology, the gauge factors of the corresponding strate,” in Proc. Transducers, 2003, pp. 742–745.
films were quite inferior. The best gauge factor for the poly-SiC
films was 2.1 at room temperature. The 3C-SiC piezoresistors
showed gauge factors of about 18 in the [100] direction at
room temperature. The gauge factor was compromised with
increasing operating temperature and dropped to 7 at 400 C. Chien-Hung Wu (M’01) received the B.S. degree in
materials engineering from the National Cheng-Kung
University, Taiwan, R.O.C., in 1991, and the M.S. and
Ph.D. degrees in materials science and engineering
REFERENCES from Case Western Reserve University, Cleveland,
[1] M. Mehregany, C. A. Zorman, N. Rajan, and C. H. Wu, “Silicon car- OH, in 1995 and 2001, respectively.
He joined Movaz Networks, Inc., as a Technical
bide MEMS for harsh environments,” Proc. IEEE, vol. 86, no. 8, pp.
Staff Member of the Advanced Optical Technology
1594–1610, Aug. 1998.
Group, working on the development of 3-D MEMS
[2] D. Gao, M. B. J. Wijesundara, C. Carraro, R. T. Howe, and R.
switches for optical networks. At the end of 2001, he
Maboudian, “Percent progress toward a manufacturable polycrystalline joined FiberLead, Inc. (now FLX Micro, Inc.), Cleve-
SiC surface micromachining technology,” IEEE Sensors J., vol. 4, no. land, as Senior R&D Engineer, working on the development of 2-D fiber array
4, pp. 441–448, Apr. 2004. packages based on micromachining techniques and SiC MEMS. He was pro-
[3] M. Mehregany, C. A. Zorman, S. Roy, A. J. Fleischman, C. H. Wu, and moted to Director of Process Engineering in 2003 and served as the key role
N. Rajan, “Silicon carbide for microelectromechanical systems,” Int. in leading the development of advanced SiC material for novel MEMS devices.
Mater. Rev., vol. 45, no. 3, pp. 85–108, 2000. He has worked for more than ten years on the research and development of epi-
[4] R. Zeirmann, J. von Berg, W. Reichert, E. Obermeier, M. Eickhoff, and taxial growth, film deposition, and characterization of silicon carbide (SiC), as
G. Krotz, “A high temperature pressure sensor with -SiC piezoresistors well as micromachining and microfabrication technologies for MEMS devices
on SOI substrates,” in Proc. Int. Conf. Solid State Sensors and Actuators, made from SiC and other wideband gap semiconductors. He has published over
1997, pp. 1411–1414. 20 technical papers.
324 IEEE SENSORS JOURNAL, VOL. 6, NO. 2, APRIL 2006

Christian A. Zorman received the B.S. degree Mehran Mehregany (SM’89) received the B.S. de-
(cum laude) in physics and the B.A. degree (cum gree in electrical engineering from the University of
laude) in economics from The Ohio State Univer- Missouri, Rolla, in 1984, and the M.S. and Ph.D. de-
sity, Columbus, in 1988, and the M.S. and Ph.D. grees in electrical engineering from the Massachu-
degrees in physics from Case Western Reserve setts Institute of Technology, Cambridge, in 1986 and
University (CWRU), Cleveland, OH, in 1991 and 1990, respectively.
1994, respectively. His doctoral research involved From 1986 to 1990, he was a Consultant to the
an investigation of the secondary electron emission Robotic Systems Research Department, AT&T Bell
properties of CVD diamond films for vacuum Laboratories, where he was a key contributor to
electronics. ground-breaking research in MEMS. In 1990, he
He joined the MEMS program at CWRU in 1994 joined the Department of Electrical Engineering and
as a Research Associate and immediately began working in the SiC MEMS area. Applied Physics, Case Western Reserve University (CWRU), Cleveland, OH,
He was promoted to Senior Research Associate in 1997 and Researcher in 2000. as an Assistant Professor. He was awarded the Nord Assistant Professorship in
In addition to his research positions within CWRU, he has held appointments 1991, promoted to Associate Professor with tenure in July 1994, and promoted
as Adjunct Assistant Professor in the Department of Electrical Engineering and to Full Professor in July 1997. He held the George S. Dively Professor of
Computer Science and Interim Administrative Director of the Microfabrication Engineering endowed Chair from January 1998 until July 2000, when he
Laboratory. He is currently an Associate Professor of electrical engineering and was appointed the Goodrich Professor of Engineering Innovation. He served
computer science at CWRU. He has been instrumental in the construction of AP as the Director of the MEMS Research Center at CWRU from July 1995
and LPCVD reactors for SiC thin films and has led the development of recipes until December 2002. Since January 2003, he has served as Chairman of the
for the growth of single and polycrystalline 3C-SiC films for micromachined Electrical Engineering and Computer Science Department at CWRU. He is
sensors and actuators. In addition to the development of novel bulk and surface well known for his research in the area of MEMS, and his work has been
micromachining techniques for SiC, he was a key contributor to the development widely covered by domestic and foreign media. He has authored over 250 pub-
of novel polishing, wafer bonding, and low-defect density growth processes for lications and holds 15 U.S. patents. He is the Founder/Co-Founder of several
SiC. He has published over 120 technical papers, five book chapters, and has technology companies, including Advanced Micromachines Incorporated (now
taught several short courses on SiC for MEMS. His current research interests part of The Goodrich Corporation), FLX Micro, Inc. (www.flxmicro.com), and
include the development of SiC for NEMS. NineSigma, Inc. (www.ninesigma.com). His research interests are in MEMS
Prof. Zorman is a past Chairman of the MEMS Technical Group in the Amer- and nanoelectromechanical systems (NEMS), including sensors, actuators,
ican Vacuum Society and is currently serving as Co-Chairman. micromachining, and microfabrication technologies. His additional specialized
interest centers on developing silicon carbide as an enabling material for
MEMS and NEMS, particularly for applications in harsh environments.
Prof. Mehregany is the recipient of a number of awards/honors. He served
as the Editor-in-Chief of the Journal of Micromechanics and Microengineering
from January 1996 to December 1997 and is Assistant-to-the-President of the
Transducers Research Foundation.

You might also like