You are on page 1of 15

Chapter 1

Properties of silicon
Markku Tilli and Atte Haapalinna
Okmetic Oy, Vantaa, Finland

1.1 Properties of silicon replaced by silicon particles floating in fluidized bed reac-
tors; these processes yield granular polysilicon.
Silicon is an abundant element found in the Earth’s crust in The result of the purification process is high-purity sil-
various compounds. Worldwide polysilicon production in icon containing very small amounts of foreign dissolved
2017 was around 445,000 t with a market size of US$ 6.5 atoms. If the single crystals are manufactured by a
billion. Most of the silicon is used for photovoltaic applica- Czochralski (CZ) technique, which is most commonly
tions and the quality is lower than that required in the used (c90% of the crystals), the impurity level increases,
semiconductor industry. The semiconductor grade silicon as the growth is made from a quartz crucible having some
production usable for semiconductor and microelectrome- impurities. The result is, however, still acceptable.
chanical systems (MEMS) applications was around Typically, most of the contamination of the silicon takes
70,000 t. Today most of the silicon used is either N- or place during actual device manufacturing. Of impurities,
P-type, doped with antimony, arsenic, phosphorus (N-type), metals are generally harmful, with rare exceptions, and
or boron (P-type); the dopant concentration ranges between their concentration should be as low as possible, typically
1013 and 1020 dopant atoms/cm3 Si. Intrinsic (no intentional much less than 1012 atoms/cm3 Si. Oxygen coming from
doping) or very slightly doped high resistivity silicon above the CZ-crystal growth step as an impurity has a dual role:
1 kΩ cm is used in small amounts especially in radio fre- it has beneficial effects (strengthening of the silicon lat-
quency (RF) applications. Statistics pertaining to silicon tice at high temperatures, gettering effect in semiconduc-
can be found, for example, in the US Geological Survey tor use) but also has detrimental effects (donor formation,
2018 Minerals Yearbook [1,2]. defect generation). Nitrogen is a second example of an
Quartz, or silicon dioxide, is the most common start- impurity, and it can be used in small quantities to enhance
ing raw material for purified silicon for semiconductor silicon properties, especially in integrated circuit (IC)
and sensor applications, and the Siemens process is the applications.
most commonly used in semiconductor-grade silicon pro- The current high demand for low-cost solar-grade sili-
duction. In the classical Siemens process, metallurgical- con encourages the exploitation of alternative sources and
grade silicon, made first in an electric arc furnace by new purification techniques. Currently, these methods are
reducing quartz with coke, is turned to silicon-hydrogen- not able to produce semiconductor-grade silicon pure
chloride compounds in fluidized bed reactors, and those enough for MEMS applications, although in solar applica-
compounds are converted to TCS (trichlorosilane or tions, these new methods already show promising results.
SiHCl3). TCS is purified by distillation, during which Woditsch and Koch [3] and Istratov et al. [4] list some of
concentrations of impurity compounds having either a the available solar silicon purification techniques.
lower or higher temperature of volatility than TCS Silicon is an ideal material for various MEMS applica-
(38.4 C) are reduced. Purified TCS is fed together with tions. Silicon is a semiconductor whose resistivity can be
hydrogen into a reactor. In the reactor, TCS decomposes adjusted by doping from sub-mΩ cm to several kΩ cm; it
onto hot silicon filaments forming a pure polysilicon rod. is quite inert in a normal environment, hard, transparent
This rod is then used as a raw material for crystal growth, in an infrared regime, and elastic at room temperature
either in rod form or in crushed pieces. There are alterna- with no plastic deformation and with high fracture
tive, newer techniques for purifying silicon; one variant is strength. Finally, a protective stable silicon dioxide can
similar to the Siemens process but uses silane (SiH4) as a be grown. Silicon crystal has anisotropic properties—
precursor. In some processes, heated silicon filaments are mechanical, chemical, and electrical—which can be
Handbook of Silicon Based MEMS Materials and Technologies. DOI: https://doi.org/10.1016/B978-0-12-817786-0.00001-3
Copyright © 2020 Elsevier Inc. All rights reserved. 3
4 PART | I Silicon as MEMS Material

TABLE 1.1 Basic parameters of silicon.


Atomic number of Si 14
Atomic mass of Si 28 (92.23%) 29 (4.67%),
30 (3.1%)
Crystal structure Diamond
Lattice constant 0.5431 nm
Si atoms 5 3 10 22
Atoms/cm3
Melting point 1687 K
Specific density 2.329 g/cm3 at
298K
Specific density (liquid) 2.57 g/cm3
Thermal conductivity 149 W/(m K)
26
Coefficient of thermal 2.56 3 10 m21/K (at
expansion 298K)
FIGURE 1.1 The silicon lattice unit cell. Gray silicon atoms in the lat-
Specific heat capacity 19.79 J/(mol K) tice are in the fcc position, and light color atoms are shifted from fcc
0.705 J/(g K) positions toward the [1 1 1] direction by 1/4 unit cell length. fcc, Face
centered cubic.
Young’s modulus 150 GPa
Speed of sound 8433 m/s
Other elements, such as germanium, in periodic
Hardness 7 Mohs table group IV typically have the same structure. The unit
Hardness 850 kg/mm2 cell contains eight atoms, and the atoms follow a face-
(Knoop centered cubic (fcc) Bravais lattice. In each fcc lattice
hardness) point, there are two atoms (motif): one in the lattice point
Volumetric compression 1.02 3 1028 kPa21 and the second displaced by 1/4 of the unit cell length
coefficient toward the [1 1 1] direction. The unit cell length at room
Index of refraction (varies B3.54B3.48 λ 1.1 μm, temperature is 0.5431 nm. Actually, this value is one of
with temperature and λ) RTλ 2 μm, RT the most precisely known among elements, since silicon
crystal can be grown almost perfectly, and the lattice
parameter can be measured precisely according to Martin
et al. [8] with an uncertainty of about 3. . .6 3 1028. Thus
exploited in MEMS component designs. Very large sili- silicon is a good candidate for various references, for
con single crystals in various crystal orientations can be instance, in determining Avogadro’s constant. The density
made with relative ease. These unique combinations of of packing of silicon in this lattice is approximately 34%.
properties have placed silicon as the number 1 material in The packing density is quite loose compared with that of
MEMS manufacturing, although it took almost 30 years fcc lattices (some metals, such as copper, have this struc-
after the invention of the silicon transistor until the poten- ture), whose packing density is 74%, and that of a body-
tial of silicon as a micromechanical material was widely centered cubic structure (for instance, pure iron at room
realized; see Petersen [5]. Table 1.1 lists some basic para- temperature), whose packing density is 68%. The largest
meters of silicon. Some of the parameters depend on dop- empty space in the diamond cubic lattice is the octahedral
ing level as well as temperature and have an effect on hole that can occupy an atom 41% of the size of the host
MEMS device function. atom. Atoms dissolved in the lattice in empty spaces are
A more comprehensive treatise on basic silicon prop- called interstitial atoms; the solubility is called interstitial
erties can be found, for instance, in a handbook edited by solubility. The most typical interstitially dissolved (impu-
Hull [6] or in LandoltBörnstein Group III Condensed rity) atom is oxygen. The maximum oxygen equilibrium
Matter [7]. content at crystal growing in the interstitial state can in
practice be almost up to 20 ppm, which corresponds to up
to 1018 atoms/cm3 Si. Carbon is the second most common
1.1.1 Crystallography of silicon interstitial impurity in silicon; in practice the concentra-
Silicon crystallizes into a diamond cubic crystal structure tion is less than 0.3 ppm in semiconductor-grade material.
(Fig. 1.1) in which the atoms are covalently bonded. Dopant atoms, of which boron, antimony, arsenic, and
Properties of silicon Chapter | 1 5

phosphorus are commonly used, are in substitutional lat- (h k l) are a/a, a/N, a/N or (1 0 0). If the plane intercept
tice sites (i.e., replacing silicon atoms), with the exception points would be 1/2 a,N,N, Miller indexes of that plane
of very high doping levels (meaning high concentrations would be (2 0 0). A plane intersecting axis y at a and the
above 1018 atoms/cm3 Si), at which a small fraction of the x and z axes at N, respectively, is (0 1 0). If the plane
doping elements are electrically inactive and in interstitial would intersect axis y at 2 a, and the x and z axes at N,
places. The maximum substitutional solubility depends on it is (0 2 1 0) or ð0 l 0Þ in Miller notation, where the
the atom size and some other factors; typically, it is less negative sign is above the index number.
than 1021 atoms/cm3. Germanium is an exception; it is A plane (1 1 0) means that plane intersect points are
completely miscible. a,0,0, 0,a,0, and 0,0,N; with (1 1 1), plane intersect
points are, in a similar way, a,0,0, 0,a,0, and 0,0,a.
Correspondingly, the (3 2 1) plane is a plane with inter-
1.1.1.1 Miller index (h k l) system sects at points a/3,0,0, 0,a/2,0, and 0,0,a (Fig. 1.2F). The
A convenient way to describe atomic planes and directions convention is that when the plane is marked as (h k l), it
in the crystal lattice is to use Miller indexes. When the lat- means that the plane is only that. If the marking is
tice has maximum symmetry, or is cubic (as the silicon lat- {h k l}, all planes in the same family are included, and in
tice is)—that is, the lattice axes are orthogonal and the a cubic lattice it means that {k h l} includes all eight
lattice parameters in all directions x,y,z are identical—the identical planes (h k l), ðh k lÞ; ðh k lÞ; ðh k lÞ; ðh k lÞ;
Miller notation is easy to use and is described later. ðh k lÞ; ðh k lÞ, and ðh k lÞ. Indexes for directions are
Let us consider an orthogonal coordinate system, with expressed in square brackets as [h k l]. The direction is
axes x, y, and z, with equal unit vector size, a (Fig. 1.2). perpendicular to the plane. Finally, the family of direc-
In Fig. 1.2A the plane intercepts the x-axis at a distance a tions is expressed as hh k li, and again in cubic  lattice

from the origin and is parallel to the y- and z-axes, or it
 includes
  all identical
  eight
  directions
  h h k l i; h k l ;
intercepts them at N. The intercept points in each axis h k l ; h k l ; h k l ; h k l ; h k l , and hh k li.
are thus a,N,N. Miller indexes (h k l) are constructed in Those who would like to have a comprehensive picture
such a way that reciprocals of the intersect points are of Miller indexes should consult, for instance, Cullity
taken. Thus in the case of Fig. 1.2A, the Miller indexes and Stock [9].

(A) (B) (C)


z z z

a y y y
a/2
a –a
a,0,0
a
x x x

(D) (E) (F)


z z z

a y a y y
a/3
a a a/2

x x x

FIGURE 1.2 Miller indexes.


6 PART | I Silicon as MEMS Material

1.1.1.2 Stereographic projection either with CZ or float zone (FZ) growing methods
Stereographic projection in crystallography is a helpful contain defects, some of which are characteristic to the par-
and illustrative tool when investigating atomic planes or ticular growing method. Furthermore, defects are formed
directions and visualizing various orientation-dependent intentionally or unintentionally during the processing of
phenomena. In stereographic projection, crystal directions silicon wafers to final components. These defects can be
are projected onto a plane. Construction of stereographic classified as (1) point defects (or their agglomerates), (2)
projection is made as follows: the crystal lattice is placed linear defects, (3) planar defects, or (4) volume defects.
in the center point of the sphere, and crystallographic Silicon crystals are grown from the melt. Because of
directions are projected onto the sphere’s surface. A plane the low diffusivity of the point defects—vacancies and
touching the sphere in point S is drawn. A line connecting self-interstitials—many of the defects thermodynamically
points N and the projection point of the crystallographic at equilibrium concentration at the freezing point are “fro-
direction on the sphere P* is drawn. This line intersects zen in” the crystal during the cooling phase. Depending
the plane in point P. When all crystallographic low index on the temperature gradient close to the freezing interface
directions intersecting the sphere in the southern hemi- and the time at high temperatures, point defect agglomer-
sphere are drawn and projected to plane, a stereographic ates can be formed, too. In IC technology, crystal origi-
projection is constructed. This kind of projection preserves nated particles (COPs) (or large vacancy agglomerates)
angles (but not areas), so it is possible to measure angles have a great impact on thin gate oxide integrity, for
between crystallographic directions. This can be done man- instance. Crystals grown with the CZ method also contain
ually using a Wulff net. Silicon-based MEMS technology foreign atoms, such as oxygen and carbon. Initially, they
uses commonly (1 0 0) oriented silicon wafers, that is, the are like point defects or clusters of a few atoms in the
(1 0 0) crystallographic plane is the surface of the wafer. crystal at equilibrium in freezing temperature, and at nor-
Less often, wafers with (1 1 1) or (1 1 0) are used. Some mal device processing temperatures, they are supersatu-
special applications may use off-oriented wafers, for rated, eventually generating volume defects (precipitates)
instance, where the angle between (1 1 1) plane and water and/or secondary linear or planar defects. A growing
surface is 45 . Below are stereographic projections of cubic oxide precipitate can nucleate stacking fault or dislocation
crystals in (1 0 0), (1 1 1), and (1 1 0) orientations con- loops around the precipitate. Oxygen can also form elec-
structed in such a way that the wafer orientation mark (a trically or optically active defects, donors, which are dis-
flat or notch) is facing downward and is of h0 1 li type. cussed later in Section 3.6. Therefore it is essential to
There are useful commercial and free software for the control these defects not only in device manufacturing but
construction of stereographic projections and calculation also in the crystal growing step. As the relationship of the
of angles between directions and planes [10]. A compre- growth in defects in the crystals and defects in finished
hensive presentation about stereographic projections and devices is complex and depends on the thermal processing
their use can be found, for example, in Cullity and Stock steps, it is beneficial for the crystal grower to know, in as
[9] (Figs. 1.31.7). detailed manner as possible, the device manufacturing
steps to optimize the behavior of the silicon. Reviews of
Falster et al. [11,12] give an overview of intrinsic point
1.1.2 Defects in silicon lattice defects in CZ silicon.
Although single crystalline silicon is almost perfect to a Linear defects are called dislocations (see Section 11.2).
degree where it can be used as a standard to define In silicon single crystals grown by CZ or FZ methods, or in
Avogadro’s constant, in reality, single crystals made wafers cut from these crystals, the dislocation density is
practically zero. During the processing of the silicon wafer
N at higher temperatures the applied stress may generate
dislocations. The origin of these stresses can be thermal gra-
dients during temperature transients in heat treatment steps
or mismatch stresses caused by thin films or heavily doped
areas in combination with high temperatures. Movement of
dislocations through the crystal lattice causes permanent
S P* deformation. Dislocations in silicon move on {1 1 1} planes
P
along h1 1 0i directions. This deformation can be seen
visually as slip lines. If the deformation is heavy, the wafer
warpage may increase. In general, dislocations in silicon
wafers have adverse effects on device performance, and in
the worst case, dislocations may even prevent the device
FIGURE 1.3 Construction of a stereographic projection. from functioning. Dislocations attract impurities, and the
Properties of silicon Chapter | 1 7

– – –
– 023 011 032 –
– 012 021
013 –
031

– 133 –
123 – 132
– 122 010
001 – –
113 – – – 131
112 – 233 – 121
223 232
– –
103 – 111 – 130
213 – – 231
–– – 323 332 – 031
013 102 – – 120
– 212 322 221 –
203 – 331 230
–– –– 313 – – – 131
012 113 – 312 211 321 110 021
–– 101
– 231
–– –– 213 –– – 311 320 121
302 –
023 –– 112 313 201 – 210
331
132
032
123 –– –– 221
212 ––
223 –– 312 301 310 321 332 232
323
–– –– –– –– –– –– –– –– 100 011
011 133 122 233 111 322 211 311 311 211 322 111 233 122 133
–– –– –– 323

–– 232 332–– 321 310 301 312 223
132 221 – 201 212 123
–– –– –– 210
032 121 331 – – 302 313 112 023
–– 320 311 213
231 –
–– 110 – – – 101
021 –– 321 211 312 113 012
131 – – – – 203
230 331 – 322 313
–– – 221 – –
102
031 120 – 332 – 212 – 013
– 231 – 323 213 103
130 111
– –
– 232 223 –
– 121 – 112
233 –
131 113
–– –
010 – 122 –
132 123 001

133

– 013
031 – –
021 – – 012
032 – 023
011

FIGURE 1.4 Stereographic projection of (1 0 0)-oriented crystal.

result can be that moving dislocations leave precipitate col- Planar defects are called stacking faults. In silicon
onies behind. Dislocations can also match together two stacking, faults are along (1 1 1) atomic planes, and they
parts of silicon lattices having slightly different lattice con- are surrounded by partial dislocation. A silicon lattice
stants. This happens when an epitaxial layer is grown on a may contain an extra atomic place; this stacking fault is
substrate and their doping levels differ substantially (see called extrinsic. If an atomic plane is missing, the stack-
Fig. 5.12.). These dislocations are called misfit dislocations, ing fault is intrinsic. Most stacking faults in silicon are of
and normally they are confined in the interface. In MEMS the extrinsic type. Extrinsic stacking faults are formed by
applications where silicon is etched, areas containing dislo- excess silicon interstitials; oxidation-induced stacking
cations generally have a nonuniform etch result because of faults or stacking faults around growing oxide precipitates
the stress field around the dislocation core. A further conse- are typical examples. A large oxygen precipitate may
quence of the presence of dislocations is a reduction of generate stacking fault(s) to reduce misfit stresses. Wet
yield strength at higher temperatures. The yield strength of oxidation of silicon wafer also may induce stacking faults.
zero dislocation silicon is higher than silicon having dislo- Intrinsic stacking faults can be found, for instance, in epi-
cations in temperatures where plastic deformation can taxial layers. Although the largest stacking faults in
occur. This means that once slip has occurred, silicon wafers can extend over several hundred micrometers, typ-
wafers are more susceptible to additional slip in following ically the diameter is less than 100 μm.
thermal treatments. However, dislocation locking by impu- Volume defects in silicon are precipitates. They can
rity atoms diffusing to the dislocation core or strained area be coherent (the lattice of the precipitate is aligned with
increases resistance to additional slip. the host silicon lattice), or noncoherent, when there is a
8 PART | I Silicon as MEMS Material


– 011
– –
123 132
– – – –
112 123 – 121
032

– 122 – – –
– 223 – – 021 – – 231
213 233 132 131

– – – 031
– – 111 232 –
313 212 323 – 121
– 131 – –
– – 332 – –
101 322 – – 130 120 230 110
– 221 231 010
312 – –
211 – 331 130
– 321
302 230 120 – –
– – 231
– 311 110 031 131 331
201 131 –
–– 320 231 – –
312 – 021 121 221 321
301 210 331 121 – – –
310 221
321 332 232 132 032 132 232 332
–– –– – – – – –
211 311 100 311 211 322 111 233 122 133 011 133 122 233 111 322 –
211
312 323 223 –
123 023 – –
– 301 212 123 223 323
–– 310 313 112 – – –
321 201 012 112
302 213 113 212 312

210 – 101 013 – – –
311 113 213 313
– – 203
320 – 312 – 102
211 313 103
– –
321 – 212 – 001


110 322 – 213 103 – –
102 203 –
323 – 101
– – – 113
331 221 – – – 112
332 111 223 –
013
– – ––
– – 233 123 – 113
231 232 – 012 ––
122 213
– – –
121 133 023
––
– 112
132 ––

011 123

FIGURE 1.5 Stereographic projection of a (1 1 1)-oriented crystal.

mismatch in lattice alignment. Small precipitates are often At the same time, it is also possible to form a precipitate-
coherent, and when they grow, they turn first semicoher- free zone below the wafer surface. The precipitate nucle-
ent, and then noncoherent. Precipitates are normally com- ation process depends especially on the properties and
pounds of silicon:silicates or silicon dioxide. thermal history of the grown crystal; therefore silicon
CZ-grown silicon contains dissolved oxygen, which is wafers from different sources behave differently.
supersaturated at wafer processing temperatures, unless a However, if, following thermal cycles, the crystal grower
special low oxygen content material is used. Extended knows to which subsequent manufacturing steps the sili-
thermal treatments grow oxygen precipitates. Also, there con wafers are exposed, it is also possible to adjust the
can be a redistribution of precipitates, in which large pre- crystal growth process and crystal properties in such a
cipitates are grown at the expense of small ones. This way that desired results are obtained. If wafers are used in
phenomenon is called Ostwald ripening process. At a IC manufacturing, the objective normally is to have pre-
given temperature there is a critical size of precipitates; cipitation on the bulk; the surface area remains defect
larger precipitates are grown, provided that there is free, to get the maximum effect out of internal gettering.
enough supersaturation at that temperature, and smaller On the other hand, some MEMS manufacturing processes
precipitates are dissolved. Using this phenomenon, it is yield optimum results only if the bulk of the wafer
possible to tune both the distribution and the size of the remains essentially precipitate free.
precipitates, first by designing a proper lower temperature COP, a cluster of vacancies, is also a volume defect.
nucleation step to adjust the size distribution and density The size and density of this defect depend on the crystal
of nuclei, and then, at higher temperatures, growing preci- growth process; the average size can be from tens of
pitates which exceed the critical size at that temperature. nanometer to less than 200 nm. COP has mostly an effect
Properties of silicon Chapter | 1 9


110 –
331 –
– – 221 –
– – 331 332
– – 221
332 – –
– – 230 – 111
– – 231 231
111 – – –
232 – 232
– – 120 – –
– – – – 121 121 – 223
223 233 – 233
– – 130 –
– – 131 131 – – –
– – 122 – – 122 112
112 132 132
– – – – – –
123 133 133 123
– –
– – – 021– 031 010 031 021 113
113 – 032 032
011 011
– 023
– 023 –
012 – – 131 130 131 132 012
– 133 132 – 133
013 013
– – 121 120 121
123 122 – – 231 – 122 123
233 232 230 231 232 233
– – – – – – – –
001 113 112 223 111 332 221 331 110 331221 332 111 223 112 113 001
– – – 323
– 323 322 321 320 321 322

213
212
– – 211 212 213
103 – 211 210 103
– – 313

102
313 312
311 310 311 312 102
– 203
203 –
101 – – 101
302 201 – 201 302
–– 301 100 301 –
113 –– – 113
213 –– – 213
313 313
–– – –
–– –– 312 –– – 312 –
112 212 212 112
311 – 311
–– –– 310 – –
–– 323 211 211 323 –
223 – – 223
–– 210 322
322
–– –– –
321 – 321
111 320 –
111
––
332 –– –
221 ––
331 – – 332
110 – 221
331
FIGURE 1.6 Stereographic projection of a (1 1 0)-oriented crystal.

on the gate oxide quality in CMOS devices. Because the


COP originates from the crystal growth process involving
melt, epitaxial layers are free from COPs (Fig. 1.8).
“Defect Engineering” can be used successfully in sili-
con technologies to maximize a device yield; however, it
should be remembered that conventional approaches used
in IC manufacturing are not necessarily successful in
MEMS technology. Reviews by Borghesi et al. [13] on
oxygen precipitation in silicon, Bergholz and Gilles [14]
on defects in general in silicon, and Sinno et al. [15] on
defect engineering in CZ silicon give a good overview of
how defects affect the IC manufacturing.

1.1.3 Mechanical properties of silicon


Silicon is a hard, brittle material, and at room temperature
under stress silicon single crystal elongates elastically
FIGURE 1.7 Wulff net used in the measurement of angles in stereo-
until fracture appears without significant plastic deforma-
graphic projection. tion. Therefore defects (scratches, dents, mechanical
10 PART | I Silicon as MEMS Material

τ
(E)
τuy

(C) τly
(A)
(F)
(B)

ε
(D) FIGURE 1.9 Schematics of stressstrain behavior of dislocation-free
(G) silicon single crystal at elevated temperatures. τ uy 5 upper yield strength,
τ ly 5 lower yield strength, ε 5 strain.

FIGURE 1.8 Defects in primitive cubic lattice: (A) vacancy, (B) sub- –1
1000/T (K )
stitutional atom, (C) interstitial atom (can be foreign atom or self-inter-
stitial), (D) dislocation (edge), (E) intrinsic stacking fault, (F) extrinsic 0.5 1 1.5 2
stacking fault, and (G) noncoherent precipitate. 10,000

1000
damage, etc.) on the surface or periphery of the silicon
wafer can cause premature cracking under stress because τ (MPa) 100
of the notch effect. Silicon wafers having a rough surface
10
or residual damage left on the wafer surface (cut wafer,
lapped wafer, or ground wafer without stress relief) are 1
more prone to break compared with polished wafers. The
ideal, “strong” wafer is double-side polished, and the wafer 0.1
edge is polished and round, also. Samuels and Roberts [16] FIGURE 1.10 Lower yield strength of silicon versus deformation tem-
used precracked bar-shaped single-crystal specimens in perature. Reprinted with permission from Phys. Status Solidi B, r2000
four-point bending tests and found a brittleductile transi- John Wiley.
tion temperature of 545 C at ambient pressure. At room
temperature, they measured a fracture stress of 270 MPa. stressstrain curves, provided that the crystals are ini-
Under 1.5 GPa confining pressure, the brittleductile tran- tially dislocation free [19,20] (Fig. 1.9).
sition temperature can be lowered to 275 C, after Rabier The value of lower yield strength varies with the tem-
and Demenet [17]. For a comparison, Vedde and Gravesen perature, according to Fig. 1.10 [17]. At 900 C the critical
[18] give, for median fracture strength (at 50% probability shear stress on the (1 1 1) plane required to move disloca-
level) when using a double-ring bending test method, tions is about 8 MPa.
1.18 GPa for polished CZ wafer, and 1.011.12 GPa for Oxygen-containing CZ silicon has, in practice, a high-
FZ wafer (different growth processes), compared with an er tolerance against slip compared with oxygen-free FZ
as-cut state from 180 to 220 MPa or 270 to 330 MPa in an silicon. The difference is that when the deformation stops,
as-lapped state. However, these values are here only as indi- in oxygen-containing CZ, silicon dislocations are locked
cators, since, in practice testing conditions, the starting rapidly by diffusing oxygen to dislocations, while in
material quality, wafer manufacturing processes, and possi- oxygen-free FZ silicon, this locking does not take place
ble post-treatments of the wafers have an influence on the and dislocations can move easily. Once deformed, CZ
fracture tendency. material shows again an upper yield point considerably
At elevated temperatures, silicon starts to show plastic higher compared with the stress required to move disloca-
deformation. Once the upper yield strength (also called tions, while FZ silicon starts to deform at a stress level
upper yield point) is achieved, dislocations start to gener- required to move dislocations in constant deformation
ate, multiply, and move on slip planes, the stress lowers (Fig. 1.11) [20]. FZ silicon can be “hardened” by adding
to the lower yield strength (point), and plastic deforma- oxygen or nitrogen, but, in general, FZ is more prone to
tion proceeds. When the dislocation density is increasing, slip, which has to be taken into account when designing
dislocations reach each other, slip is prevented, the lattice thermal treatment steps.
hardens, and stress required for further deformation is Oxygen concentration in dislocated silicon crystals has
increased, until fracture occurs. Silicon single crystals a significant effect on upper yield strength. Fig. 1.12
made with CZ or FZ techniques have similarly shaped shows an upper yield strength dependence on oxygen
Properties of silicon Chapter | 1 11

4 radial stresses in wafers are highest) are more susceptible


T = 900°C , ε̇~1.1 × 10–4 s–1 to further slip with increasing initial dislocation content.
N0~106 cm–2
Resolved shear stress (107 N/m2)

It should be noted that silicon wafers in the as-received


3 state typically do not have dislocations; exceptions are some
CZ- Si
(O)~ 8 ×1017 cm–3 epi wafer types with thick epitaxial layers. In these epi
wafers, specifications allow some maximum amount of slip.
2 The oxygen-related bulk microdefect density has to be
O-treated FZ-Si
(O)~1017 cm–3 minimized in some MEMS processes; this is done by
minimizing the initial oxygen content in silicon wafers.
1 O-treated FZ-Si The adverse effect is that when such wafers are used,
FZ-Si more attention has to be paid to controlling stresses in
FZ-Si CZ-Si
wafers during thermal processes at temperatures at which
τeff
0
silicon is still plastic.
10 20 30 40 50
Shear strain (%) 1.1.4 Electrical properties
FIGURE 1.11 Actual result of stressstrain behavior of silicon single
crystals having initial dislocation density of 106/cm2 deformed at 900 C.
Silicon is a group IV element in the periodic table and is
Oxygen-free FZ-silicon does not have an upper yield point. FZ with a semiconductor with a bandgap of 1.12 eV, which means
added oxygen, as well as standard CZ-silicon, has an upper yield point. that pure silicon at room temperature is almost an insula-
CZ, Czochralski; FZ, float zone. Reprinted with permission from K. tor. By doping with group III or group V elements the
Sumino, I. Yonenaga, A. Yuasa, Mechanical strength of oxygen doped resistivity of silicon can be varied over a wide range.
float-zone silicon crystals, Jpn. J. Appl. Phys. 19 (1980) L763L766, r
1980, Japan Society of Applied Physics.
1.1.4.1 Introduction—dopants and impurities
in silicon
Semiconductors are solid materials that have electrical
6 N0(cm–2)
conductivities in between those of conductors and those
2× 105
of insulators. The physical reason causing a material to
1× 106
5 behave as a conductor, semiconductor, or insulator lies in
the availability or lack, thereof, of free current carriers in
the material. Semiconductors are characterized by the nar-
4
row bandgap between the valence bands, occupied by
τuy (107N/m2)

T =800°C
electrons, and the conduction band, in which electrons
3 move freely according to applied electrical fields.
Intrinsic (i.e., pure) semiconductors act as insulators at
room temperatures, but their behavior changes dramati-
2
cally with temperature, and, more to the point, with small
impurities present in the crystal. Very small amounts of
T =900°C
1 electrically active impurities can totally alter the electrical
properties of semiconductors such as silicon. This is
because the electrically active impurities either easily
0
0 5 10 donate valence electrons (donors) or accept them, creating
Co ,1017cm–3
holes (acceptors). These electrons or holes are free (i.e.,
not bound to individual atoms). Their movement due to
FIGURE 1.12 Upper yield strength versus oxygen content of dislo- applied electrical fields carries electrical currents, giving
cated CZ-silicon at 800 C and 900 C. Silicon crystal with a higher dislo-
cation content has lower strength (τ is the effective stress on the (1 1 1)
rise to the term charge carriers used to denote them.
slip plane). CZ, Czochralski. Reprinted with permission from J. Appl. The electrical properties of semiconductor materials
Phys., r1984, American Institute of Physics. such as single-crystal silicon are thus defined by the
impurity concentrations present in the silicon lattice.
Impurities are introduced into the starting materials during
concentration at 800 C and 900 C, with two different ini- crystal growth and modified during device processing by
tial dislocation densities [21]. When the initial dislocation additional doping of the silicon material with electrically
density increases, the effect of oxygen diminishes and the active impurities. In intentional doping of silicon, impu-
upper yield strength falls. Thus slipped wafers in thermal rity atoms from group III (acceptors) and group V
treatments (and especially in the cooling phase, where (donors) are used. The dopants used in crystal pulling,
12 PART | I Silicon as MEMS Material

and the conductivities reached with specific impurity con- and described in the basic handbooks of the industry,
centrations, are described in more detail in Sections 3.1 such as Sze [24]. In MEMS applications requiring very
and 3.3, Dopants and impurities in silicon crystals. high resistivity, in fields such as RF and optical, special
Manipulation of the electrical properties in the struc- considerations apply. The very high resistivity materials
tures created during MEMS device manufacturing follows used are obviously strongly affected by even the smallest
practices established in semiconductor device manufactur- concentrations of unintended charge carriers. These unin-
ing. Techniques used include both very traditional meth- tended charge carriers can be introduced into the material
ods, such as deep diffusions of dopants, which have been by methods such as TD generation (described earlier),
abandoned in mainstream semiconductor processes, and oxidation, and contamination of the surface if the donors/
current standard techniques such as ion implantation and acceptors are not subsequently evaporated. In very high
epitaxial deposition. While semiconductor-grade starting resistivity silicon, these effects can be severe, in some
materials are largely free of other electrically active impu- cases even leading to type reversal.
rities, the incorporation of unintentional contamination
into silicon during processing can have significant effects 1.1.4.2 Piezoresistive effect in silicon
on the electrical properties of the manufactured devices.
General piezoresistive effect
Unintentional doping of silicon includes the introduc-
tion of unwanted donors or acceptors to the crystal lattice The change in the resistance of metal devices due to an
from the processing environment. These impurities can be applied mechanical load was first discovered by Lord
either group III/V-type atoms misplaced, or other con- Kelvin in 1857. With the large-scale use of single-crystal
taminants, such as some transition metals. silicon for semiconductor circuits, a much stronger piezo-
The generation of electrically active donors also takes resistive effect was discovered in silicon [25]. This dis-
place within the single-crystal CZ silicon itself, without covery forms the basis for practically all piezoresistive
the introduction of additional impurities. CZ silicon MEMS applications.
always includes a few parts per million atoms of intersti-
tial oxygen atoms, originating from the quartz crucible Strain
used to hold the melt during crystal pulling (see also When a sample is subjected to physical force, the force
Chapter 3: Properties of silicon crystals). At certain tem- yields a change in length, dL, that follows the well-known
peratures, ranging from 400 C to 550 C, these interstitial Hooke’s law F 5 kΔL, where k is a material constant.
atoms create conglomerates of several oxygen atoms The stress is defined as the applied force per unit area.
within the lattice. Such siliconoxygen microclusters are Stress (σ) is thus given by
known as thermal donors (TDs), as they donate free elec-
F kΔL kLΔL kεL L
trons to the conduction band, influencing the electrical σ5 5 5 or (1.1)
properties accordingly [22,23]. The concentration is, how- A A AL A
ever, usually below 1015 3 cm23 and thus has only very where εL denotes the differential deformation, ΔL/L,
marginal effects on other than high-resistivity silicon. known as strain. Most solids exhibit elastic behavior for
These donors are not stable at temperatures above 600 C, small stress loads. In elastic deformation the strain is pro-
and even for applications requiring high-resistivity sili- portional to the applied stress and the material follows
con, their effect can be suppressed by quick cooling down Hooke’s law, which, in the simple case of uniaxial stress,
over the generation temperature range. This method is can be written as
called TD anneal, and while it is effective, it does not pre-
σ 5 YεL (1.2)
vent the generation of new TD if temperatures in the criti-
cal range are used later in the device processing. For where the scalar Y stands for the modulus of elasticity,
further details, see Section 3.6. also known as Young’s modulus. This material parameter
The use of semiconductors is based on the fact that for crystalline materials such as silicon is not omnidirec-
the charge carrier concentrations are also influenced by tional but varies according to crystal planes.
any electric fields that are present. This can take place For strains above certain thresholds, Hooke’s law is
intentionally, as, for example, in transistors, but also due no longer valid. This is caused by nonelastic or irrevers-
to electric fields generated by surface effects such as ible (i.e., plastic) deformation.
charging. These effects are more pronounced in high- The piezoresistive effect is quantified using the gauge
resistivity silicon, but they bear consideration in general. factor G, describing the relationship between the applied
In the vast majority of cases the electronics required strain and the change in resistivity. The piezoresistive
for the realization of a MEMS-based sensor consist of the effect, and thus also the gauge factor of semiconductor
basic building blocks of semiconductor electronics used materials, is several magnitudes larger than the geometri-
since the birth of the silicon-based semiconductor industry cal effect observed in metals. The effect is observed in
Properties of silicon Chapter | 1 13

several semiconductor materials, such as germanium, Because the relative change in resistivity is proportional
polycrystalline silicon, and single-crystal silicon. to strain, it can be written as:
The large piezoresistive effect in silicon is due to the
Δρ
fact that, instead of a stress-dependent change in geome- 5 YπL εL (1.5)
try, the effect is first and foremost due to the stress- ρ
dependent resistivity of the material. The strain applied to For strained anisotropic material the resistivity is thus
the lattice causes the anisotropic band structure to deform, no longer scalar and is described through tensor mathe-
giving rise to an anisotropic change in the mobility of the matics, similar to the mathematics described earlier for
current carriers. stresses in anisotropic material. Thus the dependence of
the current density J on the electric field E is denoted as
Stress in anisotropic materials 2 3 2 32 3
Ex ρxx ρxy ρxz Jx
The elastic behavior of isotropic materials can be defined 4 Ey 5 5 4 ρxy ρyy ρyz 54 Jy 5 (1.6)
with just two elastic constants, commonly used para- Ez ρxz ρzy ρzz Jz
meters being the Young’s modulus Y and Poisson’s ratio
ν, but modified constants known as bulk modulus K and Details of the tensor mathematics explaining the aniso-
shear modulus G are also sometimes used. In the general tropic resistivity are described in, for example, Thomsen
anisotropic case the stress state of the material is defined and Richter [26].
by a matrix known as the stress tensor, a 3 3 3 matrix in In typical applications the MEMS devices are con-
three dimensions. structed in such a way that the current in the piezoresistors
Crystallographic symmetry allows the reduction of is either parallel or perpendicular to the direction of the
stress tensors in silicon to six-vector notation. In static stress. Also, the vast majority of applications are made on
equilibrium the situation is further simplified to just six (1 0 0) silicon wafer substrates, with the substrate oriented
independent variables, and the stress tensor can be written in such a way that the resistors are aligned on the [1 1 0]
as [26]: direction on P-type and the [1 0 0] direction in N-type, as
2 3 depicted in Fig. 1.13. These directions yield the maximum
σxx σxy σxz positive and negative piezo coefficients (i.e., the maximum
σ 5 4 σxy σyy σyz 5 (1.3) relative resistivity change for a given applied force).
σxz σyz σzz For a system in which the coordinates are chosen to
Typically, the geometries used in MEMS applications coincide with the crystallographic axes, the relationship
reduce the situation, as in the case of a piezoresistive between the stress tensor and the anisotropic change in
sensing layer, created on a relatively thick silicon sub- resistivity simplifies due to crystallographic symmetries
strate. For such a layer the vertical (z) stresses can be of silicon and can be defined as:
equated to zero, and the stress is reduced to: 2 3 2 32 3
@ρ11 Π11 Π12 Π12 0 0 0 @σ11
2 3 6 @ρ22 7 6 Π12 Π11 Π12 0 0 7 6 7
σxx σxy 0 6 7 6 0 76 @σ22 7
6 7 6
1 6 @ρ33 7 6 Π12 Π12 Π11 0 0 76 @σ33 7
7 6
σlayer 5 4 σxy σyy 0 5 (1.4) 56
0 7
6 7
ρ 6 @ρ23 7 6 0 0 Π44 0 0 7 6 7
0 0 0 0 76 @σ23 7
4 @ρ 5 4 0 0 0 0 Π44 0 5 4 @σ13 5
13
This expression can be further simplified if we limit @ρ12 0 0 0 0 0 Π44 @σ12
our discussion to stresses parallel, and stresses perpendic- (1.7)
ular, to the direction of current. For practical sensor sys-
tems, this limitation can easily be applied. where Π11, Π12, and Π44 represent three independent
Thus the forces can be denoted as σl (parallel stress) piezo coefficients, listed in Table 1.2.
and σt (perpendicular or transverse stress).
(A) (B) (C)
Strain effect on resistivity
In metals the conduction/valence bands are partially filled
with charge carriers, and small changes in band shape do
not affect most of the carriers. In semiconductors the
shape and dimension of the bandgap have a much larger
effect, and shifts in energy bands due to applied stress FIGURE 1.13 Typical piezoresistor alignments used on MEMS-
standard (1 0 0) silicon wafers, aligned to utilize maximum piezo coeffi-
affect the mobility of charge carriers. The result is resis- cients for rectangular membranes of the type used in pressure sensors.
tivity change due to applied stress and gauge factors up to (A) P-type silicon, dicing along h1 1 0i, (B) P-type silicon, dicing along
two magnitudes larger than those observed in metals. h1 0 0i, (C) N-type silicon, dicing along h1 0 0i.
14 PART | I Silicon as MEMS Material

described by the examples depicted in Fig. 1.13. The


TABLE 1.2 Independent piezo coefficients for choices of resistor direction, dicing direction, and anisotro-
crystalline bulk silicon [25,27]. pic etching are determined by the crystal orientations. In
addition, the specification of the crystallographic location
10211 N-Si P-SiNA P-SiNA P-SiNA
1/Pa ND 5 4 3 1014 2 3 1015 1 3 1018 1 3 1019
of the substrate wafer flat is based on the chosen crystal
[25] [25] [27] [27]
alignment. The primary flat has historically been located at
the h1 1 0i direction, and dicing along and perpendicular to
Π11 2102 16.6
the primary flat is depicted in Fig. 1.13A and C.
Π12 153 21.1
Π44 214 1138 1103 181 Linearity
In comparison to many other physical phenomena the
piezoresistive effect is a fairly linear effect. To be exact
In most applications the change in resistivity is limited the linear equations for piezo coefficients should, how-
to stresses parallel and perpendicular to the resistive path. In ever, be replaced with higher order polynomials. For
such cases the situation is greatly simplified [28]. The tensor resistors aligned on the h1 1 0i crystal axis, for maximum
element becomes a scalar equation and can be written as: piezoresistive effect in P-type silicon, a quadratic correc-
@ρ tion to the transversal piezoresistive coefficient is suffi-
5 πl σl 1 πt σt (1.8) cient for a wide range of doping concentrations and
ρ
applied stresses. The quadratic correction for P-type sili-
where the common notions of longitudinal piezo coeffi- con along the h1 1 0i crystal axis is of the form [28]:
cient πl and transversal piezo coefficient πt are used. As
@ρ  
an example of a common situation, let us consider the 5 πl σl 1 πt σt 1 2 6:6610210 σt 1=pa (1.13)
case of a P-type piezoresistor constructed to utilize the ρ
maximum piezo sensitivity as described in Fig. 1.13A. yielding a quadratic correction of less than 1% for stresses
For such a resistor, which is uniaxially stressed and lying up to 10 Mpa.
in the (1 1 0) direction, the shear strain is zero and the
longitudinal piezo coefficient is simplified to:
Effect of temperature and doping
1
πl 5 ½π11 1 π12 1 π44  (1.9) In addition to the piezoresistive effect the silicon resistors
2 exhibit strong temperature dependence. For piezoresistors
The corresponding transversal piezo coefficient then with low to moderate doping the resistivity changes can
becomes reach one magnitude for every 100 C. Change in offset is
1 caused by leakage currents, which are strongly dependent
πt 5 ½π11 1 π12 2 π44  (1.10) on temperature according to Laermer [29]. While in some
2
cases the temperature dependence can be utilized or
For piezoresistors in the h1 0 0i plane of an N-silicon
ignored, in the vast majority of cases this must be com-
substrate, the maximum piezo coefficient is found along
pensated for. Combined with the effect of doping on the
the (1 0 0) crystal axis, and the piezo coefficients are
piezoresistive effect, the temperature effect can be cor-
reduced to:
rected with the piezoresistance correction factor P(N,T).
πl 5 π11 (1.11) Generally, a higher dopant concentration in silicon
and reduces the temperature effect, whereas the higher the
temperature, the lower is the effect of doping level. Thus
πt 5 π12 (1.12) designing of the resistor requires a trade-off between sen-
It is immediately observed that for both N- and P-type sitivity and temperature stability (Fig. 1.14).
piezoresistors, uniaxially stressed at respective optimum The modern IC technology can provide a mathematical
locations for sensitivity, the longitudinal and transverse solution to the compensation of the piezoresistors, by intro-
piezo coefficients have opposite signs. The advantages ducing signal conditioning of the linearity and temperature
offered by this fact in a Wheatstone bridge have contrib- effect to the sensing setup. This approach provides addi-
uted to the dominance of bridge circuits in piezoresistive tional accuracy and flexibility at the cost of some cost
sensing based on silicon. and complexity. While the approach is commonly used to
The alignment of piezoresistors to be constructed is gov- improve nonidealities in surface micromachined sensors,
erned by the piezoresistive coefficients listed in Table 1.2. the performance of sensing elements manufactured from
Almost universally, the optimal solution is to align the sens- bulk, single-crystal silicon is usually close to ideal when
ing resistors according to maximum piezoresistive effect, as temperature effects are accounted for.
Properties of silicon Chapter | 1 15

1.6
T = –73 °C
Piezo correction factor P(N,T)

n-Si 1017
1.4
T = –25°C

Concentration in cm–3
1.2 1016

1.0 T = 25°C
T = 75°C 1015
0.8 T = 125°C
T = 175°C
0.6 1014
0.4
1013
0.2
1E16 1E17 1E18 1E19 1E20
ND1/cm3 1012
0.00 0.50 1.00 1.50 2.00 2.50
FIGURE 1.14 Piezo correction factor P(N,T) in N-Si as a function of
doping level, for various temperatures. Redrawn and modified from Y. Depth in µM
Kanda, Graphical representation of the piezoresistance coefficients in FIGURE 1.15 Basic diffused piezoresistor dopant depth profile. The
silicon, IEEE Trans. Electron Devices 29 (1982) 6470 [30]. wafer surface is to the left, and the piezoresistor is hatched. The transi-
tion zone under the piezoresistor acts as the electrical isolation. The
Basic solutions for correcting for temperature varia- hatched line (   ) on the left denotes free hole concentration, and
the hatched line (—  —) on the right side of the transition denotes free
tions include the use of a reference (i.e., a nonstrained
electron density, approaching the starting material ND density in the
resistor which is at the same temperature). The difference depth of the wafer. The graph is calculated using IC-simulation software
of signal between the strained and nonstrained sensors ICECREM 4.3 for WINDOWSt by Fraunhofer Gesellschaft for
provides the desired signal due to strain only. The other Integrated Systems and Device Technology (IISB), Erlangen, Germany
basic technique is to utilize a temperature-independent [31], and the carrier concentrations refer to zero bias.
circuit—in practice, a bridge circuit.
In a Wheatstone bridge circuit application the four
pressure over a known, rather limited range of pressures,
resistors are doped to the silicon and arranged in such a
over long periods of time and countless cycles of pressure
way on the sensing membrane that they react to strain in
changes, for low cost and no maintenance.
pairs. In addition to having the advantages in sensitivity,
A very basic sensing setup is as follows:
discussed earlier, the bridge circuit can be used to com-
Starting point:
pensate for temperature effects. The standard setup of a
Wheatstone bridge in (1 0 0) silicon substrate consists of Silicon substrate, N-type, (1 0 0) orientation, device
one pair of resistors aligned to give maximum positive dicing along h1 1 0i direction.
change in resistivity (longitudinally stressed if P-type, Membrane defined by etching, using an alkali etchant
transversely stressed if N-type), while the other pair yields from the backside of the wafer. The long etching is
the maximum negative change (a perpendicular alignment carried out in concentrated KOH or TMAH, requiring
with respect to the first pair of resistors). This bridge cir- a thick protective layer of oxide, or nitride. The tradi-
cuit provides an output signal that is independent of tem- tional bulk micromachining has utilized backside pat-
perature, assuming that the whole membrane is subjected terning for these cavity-etching processes.
to equal temperature changes. The circuit output is thus, Cavity sealed through wafer bonding. The sealing
to a first-degree approximation, directly proportional to options range from glues and glass frits to fusion
the change in resistivity due to the applied stress. The bonding of silicon wafers.
main disadvantage of piezoresistive gauging using bridge Piezoresistors are boron implanted on top of the wafer,
circuits lies in the current requirements in the milliampere at the edges of the membrane, where the stress max-
range, which cause limitations in the use of these circuits ims are located when the cavity pressure differs from
in power-sensitive applications. the outside pressure. Boron implantation of the ohmic
contacts can usually also be performed at this stage.
Example of a piezoresistive sensor design The basic device is finished with one or two layers of
Pressure sensing has utilized piezoresistive sensing based metals.
on MEMS technology for years and is the first widely The dopant profile of a simple implanted and diffused
adopted application of the technology. This is due to the piezoresistor of the type described is illustrated in
fact that thin micromachined silicon membranes with a Fig. 1.15. The denuded zone responsible for the elec-
piezoresistor bridge implemented on top of them provide trical isolation is shown without bias; with reverse
a rather ideal solution to the common problem of sensing bias, it can be extended to meet the requirements.
16 PART | I Silicon as MEMS Material

FIGURE 1.16 Basic MEMS-based sensor application using piezoresistors in h1 0 0i silicon. (A) Side view (cross section along the h1 1 0i plane).
(B) Top view, with the piezoresistors located in a Wheatstone bridge, along the (1 1 0) direction on the top of the silicon membrane.

The basic device outlined earlier follows the geometry [2] 2018 Minerals Yearbook, Silicon, published by US Geological
outlined in Fig. 1.16. This device has the piezoresis- Survey, January 2018. ,https://www.usgs.gov/centers/nmic/sili-
tors located at the stress maximums of the rectangular con-statistics-and-information..
membrane and along the crystal axis yielding greatest [3] P. Woditsch, W. Koch, Solar grade silicon feedstock supply for
PV industry, Sol. Energy Mater. Sol. Cells 72 (2002) 1126.
change in resistivity for a given stress. Also, the shear
[4] A.A. Istratov, T. Buonassini, M.D. Pickett, M. Heuer, E.R.
stresses of the membrane are minimized, theoretically
Weber, Control of metal impurities in “dirty” multicrystalline sili-
to zero, at the locations of the resistors. con for solar cells, Mater. Sci. Eng., B 134 (2006) 282286.
[5] K. Petersen, Silicon as a mechanical material, Proc. IEEE 70
Surface effects (1982) 420457.
In many cases the carrier concentration depth profile of [6] R. Hull, Properties of Crystalline Silicon, Inspec, London, 1999.
[7] O. Madelung, U. Rössler, M. Schultz (eds), Landolt -Börnstein
the piezoresistor can be very important. Especially in
Group III Condensed Matter, 41A1a. ,https://materials.springer.
accelerometers, the piezoresistive MEMS-sensor is often
com/lb/docs/sm_lbs_978-3-540-31355-7_199.
loaded so as to cause compressive strain on one side of [8] J. Martin, U. Kuetgens, J. Stümpel, P. Becker, The silicon lattice
the membrane and tensile strain on the other. In such a parameter—an invariant quantity of nature? Metrologia 35 (1998)
case the effective piezo coefficient, and thus the sensitiv- 811817.
ity, is very strongly influenced by the ratio of carrier con- [9] B.D. Cullity, S.R. Stock, Elements of X-Ray Diffraction, third ed.,
centrations between the membrane surfaces. Also, those Prentice Hall, Upper Saddle River, NJ, 2001.
piezoresistors whose stress is purely compressive or ten- [10] A Commercial Software. ,http://www.jcrystal.com/products/win-
sile are affected by the vertical carrier profiles, as the wulff/index.htm..
greatest strains are located at the very surfaces of the [11] R. Falster, V.V. Voronkov, F. Quast, On the properties of
membrane. On the other hand, the carrier concentrations the intrinsic point defects in silicon: a perspective from crystal
growth and wafer processing, Phys. Status Solidi B 222 (2000)
tend to change more rapidly the closer they are to the sur-
219244.
face. Because the carrier concentrations near the device
[12] R. Falster, V.V. Voronkov, The engineering of intrinsic point
surfaces can also be affected by charging of the dielectric defects in silicon wafers and crystals, Mater. Sci. Eng B73 (2000)
layers used to isolate and seal the micromachined struc- 8794.
tures, a careful consideration of the design principles used [13] A. Borghesi, B. Pivac, A. Sassela, A. Stella, Oxygen precipitation
in analog transistor design is necessary to ensure the reli- in silicon, J. Appl. Phys 77 (1995) 41694244.
able operation of the piezoresistive element. [14] W. Bergholz, D. Gilles, Impact of research on defects in silicon
on the microelectronics industry, Phys. Status Solidi B 222 (2000)

References 523.
[15] T. Sinno, E. Dornberger, W. von Ammon, R.A. Brown, F. Dupret,
[1] Wacker, Wacker fact book. ,http://www.wacker.com/cms/media/ Defect engineering of Czochralski single-crystal silicon, Mater.
documents/investor-relations/factbook_2014.pdf., 2014. Sci. Eng 28 (2000) 149198.
Properties of silicon Chapter | 1 17

[16] J. Samuels, S.G. Roberts, The brittle-ductile transition in silicon. Mater. Res. Soc. Symp. Proc., 71, Materials Research Society,
I. Experiments, Proc. R. Soc. London, A 421 (1989) 123. Warrendale, PA, 1986, pp. 6567.
[17] J. Rabier, J.J. Demenet, Low temperature, high stress plastic [24] S.M. Sze, Physics of Semiconductor Devices, Wiley, New York,
deformation of semiconductors: the silicon case, Phys. Status NY, 1981.
Solidi B 222 (2000) 6374. [25] C.S. Smith, Piezoresistance effect in germanium and silicon, Phys.
[18] J. Vedde, P. Gravesen, The fracture strength of nitrogen doped sil- Rev 94 (1954) 4249.
icon wafers, Mater. Sci. Eng B36 (1996) 246250. [26] E.V. Thomsen, J. Richter, Piezo Resistive MEMS Devices:
[19] K. Sumino, H. Harada, I. Yonenaga, The origin of the difference Theory and Applications, Technical University of Denmark,
in the mechanical strengths of Czochralski-grown silicon and Copenhagen, Denmark, 2005.
float-zone-grown silicon, Jpn. J. Appl. Phys 19 (1980) L49L52. [27] J. Richter, O. Hansen, A. Nylandsted Larsen, J. Lundsgaard
[20] K. Sumino, I. Yonenaga, A. Yuasa, Mechanical strength of oxy- Hansen, G.F. Eriksen, E.V. Thomsen, Piezoresistance of silicon
gen doped float-zone silicon crystals, Jpn. J. Appl. Phys 19 (1980) and strained Si0.9Ge0.1, Sens. Actuators, A 123124C (2005)
L763L766. 388396.
[21] I. Yonenaga, K. Sumino, K. Hoshi, Mechanical strength of silicon [28] H. Crazzolara, Hochauflösende piezoresistive Siliziumsensoren
crystals as a function of the oxygen concentration, J. Appl. Phys auf der basis halbleitertechnologischer standardprozesse (doctoral
56 (1984) 23462350. thesis), Universität Stuttgart, 1994 (in German).
[22] H. Takeno, K. Aihara, Y. Hayamizu, T. Masui, M. Suezawa, [29] F. Laermer, J.G. Korvik, O. Paul, Mechanical microsensors, in: J.
Influence of rapid thermal annealing on thermal donor formation G. Korvik, O. Paul (Eds.), MEMS: A Practical Guide to Design,
and oxygen precipitation in Czochralski silicon, in: T. Abe, W.M. Analysis, and Applications, W. Andrew Publishing, Norwich, NY,
Bullis, S. Kobayashi, W. Lin, P. Wagner (Eds.), Defects in Silicon 2006.
III, Proceeding, vol. 99-1, The Electrochemical Society, [30] Y. Kanda, Graphical representation of the piezoresistance coeffi-
Pennington, NJ, 1999, pp. 150161. cients in silicon, IEEE Trans. Electron Devices 29 (1982) 6470.
[23] G.S. Oehrlein, T.Y. Tan, R.L. Kleinhenz, J.L. Lindstrom, On the [31] ICECREM 4.3 for WINDOWSt, Simulation program provided
question of oxygen diffusion during oxygen related thermal donor by Fraunhofer Gesellschaft for Integrated Systems and Device
formation in silicon, in: M. Wittmer, J. Stimmell, M. Strathman Technology (IISB), Erlangen, Germany. ,http://publica.fraunho-
(Eds.), Materials Issues in Silicon Integrated Circuit Processing, fer.de/documents/PX-27225.htm.

You might also like