You are on page 1of 23

2.a>what is a digital system? why are digital systems so pervasive?

Answer:-
A digital system is a system that uses digital signals or data to perform operations, store
information, and communicate. It consists of electronic components, such as integrated
circuits and processors, that process and manipulate binary data (0s and 1s) according to
predefined rules and algorithms.

Digital systems are pervasive for several reasons:

1. Flexibility: Digital systems allow for greater flexibility in representing and


manipulating information compared to analog systems. Digital data can be
easily manipulated, stored, and transmitted without degradation or loss of
quality, making it highly adaptable for various applications.
2. Accuracy: Digital systems offer high precision and accuracy in representing
and processing data. Since digital signals have discrete levels, they can be
amplified, filtered, and restored to their original quality without significant loss
or distortion. This accuracy is crucial in many applications, including
communication, computation, and measurement.
3. Noise immunity: Digital signals are less susceptible to noise interference
compared to analog signals. Due to their discrete nature, digital signals can be
easily distinguished from noise and errors, and error detection and correction
techniques can be applied to ensure reliable data transmission and
processing.
4. Integration and miniaturization: Advances in technology have made it possible
to integrate large numbers of digital components, such as transistors, onto a
single chip. This integration, along with the miniaturization of electronic
components, has led to the development of powerful and compact digital
devices, including computers, smartphones, and other portable electronics.
5. Compatibility and interoperability: Digital systems enable compatibility and
interoperability between different devices and technologies. Digital data can
be easily standardized and encoded in universally understood formats,
allowing different systems to communicate and exchange information
seamlessly.
6. Cost-effectiveness: Digital technologies have become increasingly cost-
effective over time. Mass production, standardization, and improvements in
manufacturing processes have made digital systems more affordable and
accessible to a wider range of users.
7. Advancements in software: Digital systems rely on software to control and
coordinate their operations. The development of sophisticated software and
programming languages has revolutionized the capabilities of digital systems,
enabling a wide range of applications, from complex simulations to artificial
intelligence algorithms.
The combination of these factors has contributed to the pervasiveness of digital
systems in various aspects of modern life, including communication, entertainment,
transportation, healthcare, finance, and many other fields.
2.b>what is combinational circuit? detail out the procedure for design of
combinational circuit.
Answer:-
A Combinational Circuit consists of input variables, logic gates, and output
variables. The logic gates accept signals from the input variables and
generate output signals. This process transforms binary information from
the given input data to the required output data.

Block Diagram of Combinational Circuits:

Fig. 3.7 shows the block diagram of combinational circuits. As shown in Fig.
3.7, the combinational circuits accepts n-input binary variables and generates
output variables depending on the logical combination of gates.

The design of combinational circuits starts from the outline of the problem
statement and ends in a logic circuit diagram or a set of Boolean
functions from which the logic diagram can be easily obtained.

Design Procedure:

The design procedure of the combinational circuits involves following steps,

• The problem definition.


• The determination of number of available input variables and
required output variables.
• Assigning letter symbols to input and output variables.
• The derivation of truth table indicating the relationships
between input and output variables.
• Obtain simplified Boolean expression for each output.
Obtain the logic diagram.

3.a>discuss mealy and moore fsm. what do you mean by excitation table?
Answer:-
Mealy
Mealy Machine as a finite-state machine. In a Mealy machine, we determine the output values by
both- the current inputs and the current state.

Characteristics of a Mealy Machine:

• A Mealy machine has fewer states as compared to a Moore machine.


• Based on its present state and the current input, the Mealy machine alters its output.
• It places its output on the transition.
• Every Mealy machine reacts to inputs comparatively faster. The reaction occurs in the
very same clock cycle.
• When the input logic is done in the present state, then the value of the output function
becomes a function of transitions and changes.
• A Mealy Machine generally requires only a very few states for the process of synthesis.
• The asynchronous generation of output through its state alters to synchronous on the
present clock.
• It requires very little hardware for designing a Mealy Machine.
• The designing process doesn’t need to be very easy.
• You cannot refer to the counter as a Mealy Machine.
Moore Machine as a finite-state machine. In a Moore Machine, we determine the output values
by their current state only.

Characteristics of a Moore Machine:

• A Moore Machine consists of more states than the Mealy Machine.


• Its output does not depend on the current input. It depends only on its current state.
• It places its output on the transition.
• Whenever a change occurs in the state, the output function’s value becomes the function
of its current state along with the changes at the edges of the clock.
• The state and output- both change the synchronous to its clock edge.
• In a Moore Machine, one requires more logic for decoding the output. As a result, it leads
to more delays in the circuit. Generally, these react after one clock cycle.
• One requires more hardware to design a Moore Machine.
• The states for synthesis required for this machine are also more.
• It is very easy to design.
• You can refer to the counter as a Moore Machine.
4.a>draw the state flow or transition diagram for a j-k flip-flop and also give the
truth table associated with it.
Answer:-
JK Flip Flop is one of the most used flip-flops in digital circuits. The
universal flip flop has two inputs, 'J' and 'K.' The JK Flip Flop is a gated SR
Flip-Flop with a clock input circuitry that prevents the illegal or invalid
output when both inputs S and R are equal to logic level "1."

Truth Table:-
4.a.ii>what is dfd in controller design? explain with an example.
Answer:-

4.b>What is variable entrant map(VEM) in digital design?Explain its Advantages


and limitations.
5.a>Discuss the concepts of field programmable gate arrays(FPGA).Describe the
various structure of FPGA.
Answer:-
5.b>write down the classification of semiconductor memories. draw and explain the
programmable logic array (pla).

Answer:-

Classification of Semiconductor Memories:


Semiconductor memories can be classified into several categories based on
their characteristics and structure. Here are some common classifications:
1. Volatile vs. Non-volatile: Memories can be classified as volatile or non-
volatile based on their ability to retain data without power. Volatile memories
lose their stored data when power is removed, while non-volatile memories
retain data even when power is turned off.
2. Random Access Memory (RAM) vs. Read-Only Memory (ROM): RAM is a type
of memory that allows read and write operations, offering fast access to data.
ROM, on the other hand, is a non-volatile memory that stores data
permanently and is usually programmed during manufacturing.
3. Static RAM (SRAM) vs. Dynamic RAM (DRAM): SRAM stores each bit of data
using flip-flops, which provide faster access times but require more space and
power. DRAM uses capacitors to store each bit, which needs periodic refreshing
but is more compact and less power-hungry.
4. Flash Memory: Flash memory is a type of non-volatile memory that can be
electrically erased and reprogrammed. It is widely used in portable devices
such as USB drives, memory cards, and solid-state drives (SSDs).
Now, let's move on to explaining Programmable Logic Array (PLA):
Programmable Logic Array (PLA):
A Programmable Logic Array (PLA) is a digital logic device that consists of
programmable AND gates, programmable OR gates, and an output logic array.
It is a type of programmable logic device (PLD) used for implementing
combinational logic circuits.

6.a>differentiate between PLA and PAL. realize the full-adder circuit using PAL.
Answer:-
6.b>explain race and cycle in asynchronous sequential circuits. define critical
and non-critical race.
Answer:-
7.a>what are flow table and primitive flow table? how is the primitive flow
table obtained in the design of asynchronous sequential circuits?
Anser:-
7.b.1>Define Hazard?
Answer:-
7.b.2>Glitch:-
In the context of digital systems and logic circuits, a glitch refers to a temporary
and undesired variation or fluctuation in the output signal that occurs due to
timing or synchronization issues. Glitches are typically short-lived and can be
considered as unwanted, unintended pulses or spikes in the output waveform.
Glitches can occur in various scenarios, such as:
1. Combinational Logic Circuits: Glitches may arise in combinational logic
circuits when there are delays in the propagation of signals through different
gates or when there are multiple paths with different delay times. These delays
can cause momentary conflicts or imbalances, resulting in glitches in the
output signal.
2. Synchronous Systems: In synchronous systems, glitches can occur during the
transition between clock cycles. If the setup and hold times of the flip-flops or
registers are not properly met, it can lead to glitches at their outputs.
3. Asynchronous Inputs: When asynchronous inputs are involved in a digital
system, such as an asynchronous reset signal, glitches can occur if the input
changes near the clock edge. This can introduce temporary inconsistencies in
the output.
4. Crosstalk and Noise: External factors like crosstalk, electromagnetic
interference, or noise in the system can cause glitches. These disturbances can
affect the signal integrity, leading to temporary glitches in the output.
Glitches are generally unwanted because they can introduce errors or
unintended behavior in a digital system. They can potentially disrupt the
proper functioning of downstream logic circuits or cause incorrect data
interpretations. Therefore, glitch analysis and mitigation techniques, such as
careful circuit design, synchronization techniques, and noise filtering, are
employed to minimize or eliminate glitches in digital systems.
8.b>what is vhdl? list and explain the levels of abstraction in vhdl.
Answer:-
VHDL stands for Very High-Speed Integrated Circuit Hardware Description Language. It is a
hardware description language used in digital circuit design and electronic system
development. VHDL provides a means to describe the behavior and structure of digital
systems at various levels of abstraction, enabling designers to simulate, synthesize, and
implement complex electronic systems.
9.A>what are different operator types in vhdl? explain with suitable example.
Answer:-
VHDL supports various types of operators that allow designers to perform
arithmetic, logical, and comparison operations on signals and variables. Here
are the different types of operators in VHDL:
1. Arithmetic Operators: VHDL supports basic arithmetic operators such as
addition (+), subtraction (-), multiplication (*), division (/), and modulo (%).
These operators are used to perform arithmetic operations on signals and
variables. For example, the following code snippet adds two input signals and
stores the result in an output signal:
architecture Behavioral of Adder is
begin
Sum <= A + B; -- adds input signals A and B and stores result in Sum
end Behavioral;
2. Comparison Operators: VHDL supports comparison operators such as equal
to (=), not equal to (/=), less than (<), less than or equal to (<=), greater than
(>), and greater than or equal to (>=). These operators are used to compare
signals and variables. For example, the following code snippet compares two
input signals and sets an output signal based on the result:
architecture Behavioral of Comparator is
begin
if (A < B) then -- compares input signals A and B
Result <= '1'; -- sets output signal to 1 if A < B
else
Result <= '0'; -- sets output signal to 0 if A >= B
end if;
end Behavioral;
3. Logical Operators: VHDL supports logical operators such as and (and), or (or),
not (not), exclusive or (xor), and logical shift (srl, sll). These operators are used
to perform logical operations on signals and variables. For example, the
following code snippet performs a logical AND operation on two input signals
and stores the result in an output signal:
architecture Behavioral of AndGate is
begin
Output <= A and B; -- performs logical AND on input signals A and B and
stores result in Output
end Behavioral;
4. Bitwise Operators: VHDL supports bitwise operators such as and (and), or
(or), not (not), exclusive or (xor), and shift (srl, sll). These operators are used to
manipulate individual bits of signals and variables. For example, the following
code snippet performs a bitwise XOR operation on two input signals and stores
the result in an output signal:
architecture Behavioral of XorGate is
begin
Output <= A xor B; -- performs bitwise XOR on input signals A and B and
stores result in Output
end Behavioral;
These are some of the most commonly used operator types in VHDL. Designers
can use these operators to implement various types of digital circuits and
systems.
9.b>Explain Behavioral and data flow model with example.
Answer:-

You might also like